blob: e68514ff7d91c60450f89c0ade2b80bbebe916f0 [file] [log] [blame]
Sylvain Munautbc9f5c42020-09-14 10:22:29 +02001/*
2 * e1.h
3 *
4 * Copyright (C) 2019-2020 Sylvain Munaut <tnt@246tNt.com>
5 * SPDX-License-Identifier: GPL-3.0-or-later
6 */
7
8#pragma once
9
10void e1_init(bool clk_mode);
11void e1_poll(void);
12void e1_debug_print(bool data);
13
14volatile uint8_t *e1_data_ptr(int mf, int frame, int ts);
15unsigned int e1_data_ofs(int mf, int frame, int ts);