blob: b99c682c9ce2ef212ba42720c0a0038d99cb80c0 [file] [log] [blame]
Sylvain Munautf5d7bf22020-09-14 10:23:50 +02001/*
2 * e1.h
3 *
4 * Copyright (C) 2019-2020 Sylvain Munaut <tnt@246tNt.com>
5 * SPDX-License-Identifier: GPL-3.0-or-later
6 */
7
8#pragma once
9
10void e1_init();
11void e1_start();
12void e1_stop();
13
14void e1_poll(void);
15
16void e1_debug_print(bool data);
17
18volatile uint8_t *e1_data_ptr(int mf, int frame, int ts);
19unsigned int e1_data_ofs(int mf, int frame, int ts);