hardware/icE1usb-rs422: Initial import of RS422 extension HW

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
Change-Id: Ie878a1691fb18e288a21e139604b53b11cc95dad
diff --git a/hardware/icE1usb-rs422/ecn.md b/hardware/icE1usb-rs422/ecn.md
new file mode 100644
index 0000000..d54d7b6
--- /dev/null
+++ b/hardware/icE1usb-rs422/ecn.md
@@ -0,0 +1,67 @@
+Electrical Engineering Change Notes
+===================================
+
+Revision 0.1
+------------
+
+### Description
+
+First revision
+
+### Manufactured
+
+Boards ordered from Aisler on August 15th 2022.
+Sent directly the Kicad files. See r0.1/ directory.
+
+### Issues noticed
+
+* Missing I2C pull-ups on the isolated side.
+
+* The pinout for the external RJ45 is completely wrong.
+
+* The 1k across 12V dissipates 144 mW. It's above the rating for
+  the jelly-bean 0603 resistors I originally used and it also
+  gets quite warm.
+
+* The 120R termination resistors also can dissipate quite a bit
+  of power. Technicaly up to 6V across leading to 300 mW !
+  In our case, it's more like 3V so it's only 75 mW but still,
+  some margin would be nice.
+
+* The linear 5V reg does heat up a bit. Nothing critical, but
+  could be improved.
+
+### Notes
+
+* All boards have been reworked to fix most pressing issues :
+    * 3k3 pullups added on the isolated side.
+    * Cut & manually rewired the external RJ45 pinout.
+    * 1k across 12V switched to high power 250mW part.
+    * 120R termination switched to high power 250mW part.
+
+* Boards location:
+    * One remained with tnt.
+    * Two sent to LaF0rge. One will be installed in the datacenter
+      to be used with the OCTOI hub, the other will remain for testing.
+
+
+Revision 0.2
+------------
+
+### Description
+
+Potential future version, if ever done ...
+
+### TODO
+
+* Add I2C pull ups on the isolated side.
+
+* Fix the external RJ45 pinout.
+
+* Use switchmode converter for supply. AP3211 looks like a good candidate.
+
+* Replace the 1k resistor across 12V with a resistor + zener + depletion n-mos
+  so that it appears as 1k when supply is < ~4V but currents limit above that.
+
+* Use 0805 termination resistors maybe ? Or at least spec those as high power
+  variants.
diff --git a/hardware/icE1usb-rs422/icE1usb-rs422.kicad_pcb b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_pcb
new file mode 100644
index 0000000..2f0f486
--- /dev/null
+++ b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_pcb
@@ -0,0 +1,14505 @@
+(kicad_pcb (version 20211014) (generator pcbnew)
+
+  (general
+    (thickness 1.532)
+  )
+
+  (paper "A4")
+  (title_block
+    (title "icE1usb RS422 extension")
+    (date "2022-08-15")
+    (rev "0.1")
+  )
+
+  (layers
+    (0 "F.Cu" signal)
+    (1 "In1.Cu" signal)
+    (2 "In2.Cu" signal)
+    (31 "B.Cu" signal)
+    (32 "B.Adhes" user "B.Adhesive")
+    (33 "F.Adhes" user "F.Adhesive")
+    (34 "B.Paste" user)
+    (35 "F.Paste" user)
+    (36 "B.SilkS" user "B.Silkscreen")
+    (37 "F.SilkS" user "F.Silkscreen")
+    (38 "B.Mask" user)
+    (39 "F.Mask" user)
+    (40 "Dwgs.User" user "User.Drawings")
+    (41 "Cmts.User" user "User.Comments")
+    (42 "Eco1.User" user "User.Eco1")
+    (43 "Eco2.User" user "User.Eco2")
+    (44 "Edge.Cuts" user)
+    (45 "Margin" user)
+    (46 "B.CrtYd" user "B.Courtyard")
+    (47 "F.CrtYd" user "F.Courtyard")
+    (48 "B.Fab" user)
+    (49 "F.Fab" user)
+    (50 "User.1" user)
+    (51 "User.2" user)
+    (52 "User.3" user)
+    (53 "User.4" user)
+    (54 "User.5" user)
+    (55 "User.6" user)
+    (56 "User.7" user)
+    (57 "User.8" user)
+    (58 "User.9" user)
+  )
+
+  (setup
+    (stackup
+      (layer "F.SilkS" (type "Top Silk Screen"))
+      (layer "F.Paste" (type "Top Solder Paste"))
+      (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01))
+      (layer "F.Cu" (type "copper") (thickness 0.018))
+      (layer "dielectric 1" (type "core") (thickness 0.138) (material "FR4") (epsilon_r 4.3) (loss_tangent 0.02))
+      (layer "In1.Cu" (type "copper") (thickness 0.035))
+      (layer "dielectric 2" (type "prepreg") (thickness 1.13) (material "FR4") (epsilon_r 4.3) (loss_tangent 0.02))
+      (layer "In2.Cu" (type "copper") (thickness 0.035))
+      (layer "dielectric 3" (type "core") (thickness 0.138) (material "FR4") (epsilon_r 4.3) (loss_tangent 0.02))
+      (layer "B.Cu" (type "copper") (thickness 0.018))
+      (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01))
+      (layer "B.Paste" (type "Bottom Solder Paste"))
+      (layer "B.SilkS" (type "Bottom Silk Screen"))
+      (copper_finish "None")
+      (dielectric_constraints no)
+    )
+    (pad_to_mask_clearance 0)
+    (pcbplotparams
+      (layerselection 0x00010fc_ffffffff)
+      (disableapertmacros false)
+      (usegerberextensions false)
+      (usegerberattributes true)
+      (usegerberadvancedattributes true)
+      (creategerberjobfile true)
+      (svguseinch false)
+      (svgprecision 6)
+      (excludeedgelayer true)
+      (plotframeref false)
+      (viasonmask false)
+      (mode 1)
+      (useauxorigin false)
+      (hpglpennumber 1)
+      (hpglpenspeed 20)
+      (hpglpendiameter 15.000000)
+      (dxfpolygonmode true)
+      (dxfimperialunits true)
+      (dxfusepcbnewfont true)
+      (psnegative false)
+      (psa4output false)
+      (plotreference true)
+      (plotvalue true)
+      (plotinvisibletext false)
+      (sketchpadsonfab false)
+      (subtractmaskfromsilk false)
+      (outputformat 1)
+      (mirror false)
+      (drillshape 1)
+      (scaleselection 1)
+      (outputdirectory "")
+    )
+  )
+
+  (net 0 "")
+  (net 1 "+3V3")
+  (net 2 "GND")
+  (net 3 "+12VA")
+  (net 4 "GNDA")
+  (net 5 "+5VA")
+  (net 6 "/PPS-")
+  (net 7 "Net-(F1-Pad2)")
+  (net 8 "Net-(F2-Pad1)")
+  (net 9 "/PPS+")
+  (net 10 "/RXD-")
+  (net 11 "Net-(F3-Pad2)")
+  (net 12 "Net-(F4-Pad1)")
+  (net 13 "/RXD+")
+  (net 14 "/TXD-")
+  (net 15 "Net-(F5-Pad2)")
+  (net 16 "Net-(F6-Pad1)")
+  (net 17 "/TXD+")
+  (net 18 "unconnected-(J1-Pad2)")
+  (net 19 "/SCL")
+  (net 20 "/PPS_IO")
+  (net 21 "/SDA")
+  (net 22 "/UART_RX")
+  (net 23 "/UART_TX")
+  (net 24 "/~{PPS_TXEN}")
+  (net 25 "Net-(U1-Pad5)")
+  (net 26 "Net-(U1-Pad6)")
+  (net 27 "/~{PPS_RXEN}")
+  (net 28 "/~{RXD_TXEN}")
+  (net 29 "Net-(U2-Pad5)")
+  (net 30 "Net-(U2-Pad6)")
+  (net 31 "/~{RXD_RXEN}")
+  (net 32 "/~{TXD_TXEN}")
+  (net 33 "Net-(U3-Pad5)")
+  (net 34 "Net-(U3-Pad6)")
+  (net 35 "/~{TXD_RXEN}")
+  (net 36 "/TXD_RO")
+  (net 37 "/RXD_RO")
+  (net 38 "/PPS_RO")
+  (net 39 "/TXD_DI")
+  (net 40 "/RXD_DI")
+  (net 41 "/PPS_DI")
+  (net 42 "unconnected-(U6-Pad13)")
+  (net 43 "/~{PPS_RE}")
+  (net 44 "/~{RXD_RE}")
+  (net 45 "/RXD_DE")
+  (net 46 "/~{TXD_RE}")
+  (net 47 "/TXD_DE")
+  (net 48 "unconnected-(U7-Pad11)")
+  (net 49 "unconnected-(U7-Pad12)")
+  (net 50 "unconnected-(U7-Pad13)")
+  (net 51 "/PPS_DE")
+  (net 52 "/SCL_ISO")
+  (net 53 "/SDA_ISO")
+  (net 54 "unconnected-(U6-Pad7)")
+  (net 55 "unconnected-(U6-Pad6)")
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 02275f1d-aece-4284-8cdf-8c19ef59cec9)
+    (at 130.75 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/852dd492-fde8-47cf-b19d-30bcba755e94")
+    (attr smd)
+    (fp_text reference "R9" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 7fc535b1-072b-4e78-a2a8-404c2cba3695)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp f61ebd10-fa85-4c79-9845-3ae1a19530d9)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp f249d16c-e8bb-413c-9ca5-de851c25d0b6)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 1695bdfc-bcb8-4ab6-a051-032fb7482d54))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 4b830b7a-c4f3-499f-9caf-eda6e9c33ae5))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0135f868-18f6-4b2b-aeec-6706dcf24647))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4286fe6f-259d-4ebc-9c2f-e9b04e5011b6))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 56a27b2b-1781-40e1-83d8-6fe07ff51c13))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5a78f5a6-9fd6-4e15-bd46-f1c21cd07dcd))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0ac4499a-ba87-45fa-99f0-460af10b0a14))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 25031667-f9bc-4a5f-abe0-1b012b10410b))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 4ab24502-138e-4e01-8757-964224fa97d9))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp ceee3b7e-9cd1-4395-a922-429ac48801be))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp acd948ab-4146-4115-ae32-4b590a488c96))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 32 "/~{TXD_TXEN}") (pintype "passive") (tstamp 5b938366-daa9-4810-ad36-e50d77f44da8))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (layer "F.Cu")
+    (tedit 5EBA9318) (tstamp 027cd7d3-1715-44a1-b796-433e296b5a41)
+    (at 109.75 88.75 -90)
+    (descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor tantalum")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/eb376085-ea92-43a5-b5a1-562f73b2246c")
+    (attr smd)
+    (fp_text reference "C10" (at 0 -1.75 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp db910480-f5e4-4b0d-a460-7f60ba68adbd)
+    )
+    (fp_text value "4u7" (at 0 1.75 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp ef9d8bfe-bc14-4670-aea1-a5068f4bf4d0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.8 0.8) (thickness 0.12)))
+      (tstamp 9d527ff1-d05f-4980-9cc6-bc7c0656487d)
+    )
+    (fp_line (start -2.31 -0.935) (end -2.31 0.935) (layer "F.SilkS") (width 0.12) (tstamp 071d6ba1-29c5-4a5f-a26f-960f2e7bf1e6))
+    (fp_line (start -2.31 0.935) (end 1.6 0.935) (layer "F.SilkS") (width 0.12) (tstamp 5227e99e-db6d-439d-ba98-ab1498142180))
+    (fp_line (start 1.6 -0.935) (end -2.31 -0.935) (layer "F.SilkS") (width 0.12) (tstamp ad7ba57d-fe7c-4dc0-b232-69ff4362bdb7))
+    (fp_line (start -2.3 1.05) (end -2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 09416769-072a-49bd-9d6e-866e5a189107))
+    (fp_line (start 2.3 -1.05) (end 2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8b21924a-2b05-4f11-ae93-1c0eb826effc))
+    (fp_line (start -2.3 -1.05) (end 2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b184e304-9678-4ea4-beb5-477107f06ef3))
+    (fp_line (start 2.3 1.05) (end -2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp deced634-45a4-4bda-a72e-7975608ca64f))
+    (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 393df2fb-60b3-4868-ba42-10728d2c3723))
+    (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6e3ece48-7df7-4188-88b0-fa63324bc326))
+    (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 6eb3ebb8-d213-469d-aedb-dcd89bad4950))
+    (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp cc22290a-0c9e-4c3c-bf45-9be89c0c7fcb))
+    (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1) (tstamp d926c2dc-1508-493a-bb66-5a3a457855e9))
+    (pad "1" smd roundrect (at -1.35 0 270) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 5 "+5VA") (pintype "passive") (tstamp 43adbbe5-bda9-4f8a-b7e8-8c647c4fbd2f))
+    (pad "2" smd roundrect (at 1.35 0 270) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 4 "GNDA") (pintype "passive") (tstamp be94408d-6382-4da8-947a-8b15549acbda))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm" (layer "F.Cu")
+    (tedit 5A02F25C) (tstamp 070a8132-cf55-49fa-9c23-ce72e4543baa)
+    (at 114 80 -90)
+    (descr "TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf)")
+    (tags "SSOP 0.65")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/8c3400d7-e8a7-44a6-8a0d-eb85ee8686d0")
+    (attr smd)
+    (fp_text reference "U3" (at 0 -2.55 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 0d1be008-9bf5-4f0f-a4f0-11c2af6260c8)
+    )
+    (fp_text value "74LVC2G125" (at 0 2.55 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 0662ddc8-4ebf-4250-8f8f-6badf19e68cc)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.6 0.6) (thickness 0.15)))
+      (tstamp 24850461-7a9c-4110-990b-1d5140cde4b0)
+    )
+    (fp_line (start -1.625 1.625) (end 1.625 1.625) (layer "F.SilkS") (width 0.15) (tstamp 18d894e2-7e41-40c6-a802-750d44e472b5))
+    (fp_line (start 1.625 1.625) (end 1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 71ad2e9a-41b7-4c13-9cc0-7c15c300b696))
+    (fp_line (start -1.625 -1.625) (end -1.625 -1.5) (layer "F.SilkS") (width 0.15) (tstamp 8a5c8a46-9a69-40c5-8a28-5321063c7be0))
+    (fp_line (start -1.625 -1.625) (end 1.625 -1.625) (layer "F.SilkS") (width 0.15) (tstamp b1def6c0-3b5b-44d4-ad2c-cfab09147b50))
+    (fp_line (start 1.625 -1.625) (end 1.625 -1.4) (layer "F.SilkS") (width 0.15) (tstamp c27707cb-13c0-485c-b515-89def70c8dca))
+    (fp_line (start -1.625 1.625) (end -1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp d4156301-2901-40af-9881-da3804a15228))
+    (fp_line (start -1.625 -1.5) (end -2.7 -1.5) (layer "F.SilkS") (width 0.15) (tstamp f0aff401-aabb-40f2-9974-a28e721a433f))
+    (fp_line (start 2.95 -1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 41ce6600-9ebc-41f3-bcc5-e1c7146b3e0f))
+    (fp_line (start -2.95 -1.8) (end -2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 8ade1422-ae6b-4246-8d57-40214e362dab))
+    (fp_line (start -2.95 -1.8) (end 2.95 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp c1e7ef04-98a1-4e2b-a496-60b43d35ff4a))
+    (fp_line (start -2.95 1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp e97ad2ce-3e9c-4bd9-b88d-c2fa254daf6b))
+    (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15) (tstamp 12a88c29-5cc1-446b-9ee3-e901c653b6a3))
+    (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 1959befa-8675-43a4-bf65-8aab24a93963))
+    (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 1cd15100-022b-4aa5-8105-b19fc95718b6))
+    (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 2385ea0a-5343-4ce6-baec-c04e9bcbed97))
+    (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 54accc73-9d92-4a3e-a5ba-d363bf91b9e6))
+    (pad "1" smd rect (at -2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 24 "/~{PPS_TXEN}") (pintype "input") (tstamp 091ad6c6-cbb2-490f-abfa-52cad2ce3191))
+    (pad "2" smd rect (at -2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 20 "/PPS_IO") (pintype "input") (tstamp bdb8ae3e-923d-4ac8-ab47-1397e93b2f65))
+    (pad "3" smd rect (at -2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 20 "/PPS_IO") (pintype "tri_state") (tstamp 6d1dab35-fc99-4aab-8822-1d7414af4c53))
+    (pad "4" smd rect (at -2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6861886d-8ec6-422b-8f49-1de15ca65a5f))
+    (pad "5" smd rect (at 2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 33 "Net-(U3-Pad5)") (pintype "input") (tstamp b7c5426a-32bb-4338-bc15-dabd2b0ac063))
+    (pad "6" smd rect (at 2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 34 "Net-(U3-Pad6)") (pintype "tri_state") (tstamp 6ad76f1a-4a0b-49db-9473-e6977bc6430f))
+    (pad "7" smd rect (at 2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 27 "/~{PPS_RXEN}") (pintype "input") (tstamp aab30838-7afc-494d-844f-dc51c6b05774))
+    (pad "8" smd rect (at 2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp db73b0ef-9aa4-4810-830a-50a99bfc56c5))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_3x3mm_P0.65mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 150cd7cc-7d48-4397-9cd0-51a2b7e444dc)
+    (at 104 100.5 180)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/6c19c75a-f2e7-4eec-bdac-75ad271b3be1")
+    (attr smd)
+    (fp_text reference "F3" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 6e741a0e-ff9f-4b05-81f0-767fef831dfe)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d8ad6947-8cf9-450a-818b-ed6bac65b1aa)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp e31aa941-980e-4499-bb74-28402952642c)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 59d3ecd5-8dce-4e61-b10d-9a2d53321c5e))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 85d84cc5-0141-487c-86a1-0401c9aef0df))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 904fd85d-c484-478c-b71f-3479a7881c4e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9090285c-7747-4d83-a2e6-207fba8703eb))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc0320cc-5093-4f6b-b169-ac1a49ca1d1e))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c41e53e9-0a17-4860-b024-91130b07968e))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 25ce6354-6fc5-494d-9263-9c8e0993323a))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 27c01518-d937-4f82-b845-90407948f2ee))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5384f46d-a583-42f7-b874-7a931e193fc0))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8248af10-cbef-4ef3-b3aa-2da81d4f6f20))
+    (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 10 "/RXD-") (pintype "passive") (tstamp 137a8485-c054-49d1-8ebe-fc27bf0a8364))
+    (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 11 "Net-(F3-Pad2)") (pintype "passive") (tstamp 66be0ce8-6549-4368-ac79-3b04a0f0c150))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 1bac70f1-4cef-4b3a-8b6c-66dc71e3ea65)
+    (at 131.5 81.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/175b6bba-723c-4c12-977b-5d1ec581c631")
+    (attr smd)
+    (fp_text reference "C3" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1f213c86-418c-4b53-81d5-19c457ecbe9c)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 93cc4196-bfdd-4ae9-9f8c-2c69030578d2)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 9024cc18-64f5-49fc-9385-f27697d90701)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 9a5a2477-e9ba-4bbb-8dc8-a9d7e6a7b729))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp ec9ce249-883d-4390-9fc5-b7f16b22bb56))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 257a0b96-5e6c-4690-b372-899949b413d1))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 61513683-570e-4777-88e7-e095aeb777ed))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c5ad7af8-a84b-4e5b-8361-7aab57921ea6))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp edb4e1e4-cee5-45a3-a0ba-cf60af04d14b))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 85d86963-d0d3-4d3a-ba67-7289738699d7))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a21d8984-4182-42fe-a99d-09d45b8d2280))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c1431e2c-3354-4b11-9068-4b658208fc81))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp cfc02a47-e092-4a37-b020-6665aea20461))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp daf75797-670b-4733-bf4c-b69f1ae4dded))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp b5841cf5-47ed-41db-9700-e5697040b365))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 21358744-3c2c-4310-b560-3bf74c807a3e)
+    (at 111.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/b3352b3b-c519-4713-b556-66f6b26e64ff")
+    (attr smd)
+    (fp_text reference "R11" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 37cc9957-884b-494f-9115-25c112c85fa6)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d192cdeb-a633-4678-aa8e-29462f284766)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 8bb71419-6de5-4a03-b840-cb35b1300cf6)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp d7d2052b-cb1d-4511-92db-a5c16c5236bf))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp ee7d7608-dc37-40b7-963c-afde99dd4f18))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0964f268-c82c-40a3-b76e-4b67ad805983))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 719c5f27-3708-4a82-8732-1cc18a3dc02e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp badd54e1-066f-490b-a321-a0af104444c7))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e1cf2d10-b92a-41e4-afe0-71ad9981435f))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 3945a97e-c440-4273-bc83-5356531237e7))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5521d45a-41a4-4359-803e-0155a081c10e))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 90843fdd-f7f0-435f-88d9-b0d90d1f481d))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b942d530-9072-44a5-bd84-0734794cc4c9))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 03942e07-9eea-4457-86df-0993e5a2cbeb))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 43 "/~{PPS_RE}") (pintype "passive") (tstamp 5408d0ab-9e5b-40c1-8330-5e4d442452e0))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 21f75fcd-231a-4e34-94f7-27ac1a92fa50)
+    (at 118.25 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/a0c992ea-8c8c-470e-ad1b-79d41380f38f")
+    (attr smd)
+    (fp_text reference "R6" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 20d66802-e57b-4cc8-a1c6-f35177915a44)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e042b8aa-a5f2-44e5-b807-7f0c2dfcdca0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp e0d18bf5-1e31-46ec-855a-c31216d8b402)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 28878b32-4a94-4a9b-bdc3-e5a288506229))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 8f8f5038-2823-476a-bb7b-929b303634ba))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 25cfa8c4-aaf4-4785-a285-1dc5271d86d3))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 52ae086c-b99c-4a2a-9f34-071193327443))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ab06579a-2efe-475c-bd26-14a511d79095))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ff2805c3-ac17-4326-9514-0cc378623158))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 528097fa-a6c1-4b41-ae2b-cf194701a231))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 544ac4dd-37ce-4398-aa31-1b63afe5ecda))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 73e2f8c9-5a88-4c3f-a533-ec7bec41a73d))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b9c9a592-d33c-4c08-acae-2b236ecdb2f5))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp b17a5469-e9bc-4ff6-b596-976b0d77dddf))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 27 "/~{PPS_RXEN}") (pintype "passive") (tstamp 07f25cb9-f16c-4184-babf-8c37da370e1d))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 29ca8e8c-f35c-4538-9f6f-ad2347a136b4)
+    (at 104 103.5 180)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f5cfbf96-3236-4154-baff-8ffa31ae94a9")
+    (attr smd)
+    (fp_text reference "F1" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp cee2df67-5151-4f3a-9bea-d50550c9ef7e)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp bc2bda3c-f724-4a4a-97b4-9f559940e628)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 60b4900f-c315-45c3-a94f-67c39c22f3a3)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 7d73c7bb-656c-4d7d-a5b3-08f23c595906))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8d7860fb-6054-4824-bb0f-ddb0b181ca5f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0c3ac84d-a406-4fae-84c3-27d8f7183797))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 23e2911d-b3e2-4086-9505-dd04b9b8292c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4805661c-986c-4f15-b672-a1029259839e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a7795953-6e5d-47c2-a8ac-edaeff8a74f0))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 2a866f07-6841-43c5-9332-d3a320b22b62))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5ca1ab27-e27d-4db4-adfc-ca973fa6984d))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6cc938be-9c49-4ff5-8378-b9570bcc0de4))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c9606494-ff53-4394-8c44-486c14c776c5))
+    (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 6 "/PPS-") (pintype "passive") (tstamp 7a6005e0-dd6e-4a6c-a6b1-ca74f2e45a20))
+    (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 7 "Net-(F1-Pad2)") (pintype "passive") (tstamp abe188ec-c3e8-41a5-8052-bf548687eca3))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 2b4b357f-0a56-4ad9-afba-375f8ca1ecb9)
+    (at 130 90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/14bf1363-1bc6-4399-8dad-4a6ed70cadf6")
+    (attr smd)
+    (fp_text reference "U12" (at 0 -3.4) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 22a33ed0-6dc6-4e39-8117-1fb5d84edc83)
+    )
+    (fp_text value "M24C02-FMN" (at 0 3.4) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 914127c7-2ad5-4aeb-b847-37a97ab30dab)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp e1dc40a2-36a6-4eb0-8c9a-e423cc62b8a8)
+    )
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 3f15864e-7a23-4af7-905c-159ceb76d03c))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp b6c2a42f-2d76-4545-a93e-610c85224a5e))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp f280ffe9-4425-4358-affd-2672eee86bf6))
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp ff602c55-d56a-4295-9a4b-b1c7b90d9239))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 1070b263-4d68-41a2-ba98-7015d0bda065))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 7ee77a44-8a85-40b4-87ef-72c529c5e2c2))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 8832b2b8-f3fd-489a-a92b-353d4181d54d))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp dbe2c6ae-77e5-4456-87c6-e9af252c2175))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 2ad5d5c5-e32e-4372-84c5-3da1f7efa6a7))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 5187c2cc-faa6-4bea-860f-d9a93964eaa8))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 72df264c-de07-49da-9286-e23feca169ce))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp 7421b197-b6a0-468e-b7a7-87bdab3206de))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp accabfbe-faa5-46b3-87a3-1016173411e8))
+    (pad "1" smd roundrect (at -2.475 -1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "E0") (pintype "input") (tstamp 843b2508-08be-403b-8678-eabe823569f6))
+    (pad "2" smd roundrect (at -2.475 -0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "E1") (pintype "input") (tstamp 62685ab1-8089-4297-98d4-ff100be03813))
+    (pad "3" smd roundrect (at -2.475 0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "E2") (pintype "input") (tstamp f5155278-22a2-49ca-ab65-d288565c92f0))
+    (pad "4" smd roundrect (at -2.475 1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 83297738-cb0f-4398-ba9c-536c4ab40ee2))
+    (pad "5" smd roundrect (at 2.475 1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 21 "/SDA") (pinfunction "SDA") (pintype "bidirectional") (tstamp 16de68e1-fb4a-4797-8e59-0a3f53416712))
+    (pad "6" smd roundrect (at 2.475 0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 19 "/SCL") (pinfunction "SCL") (pintype "input") (tstamp df4319ed-2d9d-415e-8878-36547270f734))
+    (pad "7" smd roundrect (at 2.475 -0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "~{WC}") (pintype "input") (tstamp 2793b3a4-3168-4c03-82b2-156db9a15f35))
+    (pad "8" smd roundrect (at 2.475 -1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 4f0f95e8-e258-49a2-87b4-82e53d9d1194))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 2d397ecf-9a5e-45b0-9639-d03edf874b24)
+    (at 116.75 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/3b5c4058-7a13-472c-8998-684becebf99a")
+    (attr smd)
+    (fp_text reference "R5" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 3ff4a5d1-dcbc-4c88-ab0b-b492010c17c6)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4f442af4-d522-453c-be6a-d27ac7c8dad0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp a2c28600-cce9-435e-a704-70e6febc2dd2)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 957549eb-fd24-4eb3-ae29-d1093d8645a3))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp f02e1ac9-5cb1-4258-b3ec-977e8926b1ae))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1f9e9c38-4d3e-4709-ad23-4613ae85bf2b))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 89b7e876-b58d-4282-97e6-e7c72afcc914))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a555a37d-9809-4321-b55b-fe5f5b26fc6a))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b9d25864-30d7-4217-b1d7-8893d9bd45f2))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 1513c2aa-d384-4f7c-99e8-87f21646d76f))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 27f9aed7-d4af-4fab-8fc8-9b3aa964a774))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 8d597ad3-5b90-46ac-9a34-66f9c20812e0))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp e20a0a7c-c105-4dcf-9892-84755fc02fc5))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp b1fb1005-e3be-4563-a5d3-c788daa5ddbf))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 24 "/~{PPS_TXEN}") (pintype "passive") (tstamp c36ba8f8-0943-48ec-a84a-5c7878c91cdc))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 34da058e-407d-4ce1-b478-8ac799d063d7)
+    (at 122.25 102.5 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/91ccb427-348e-4935-bc6f-060bf5b67166")
+    (attr smd)
+    (fp_text reference "U10" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 938ebad0-5af1-406d-a6d1-f02066d17017)
+    )
+    (fp_text value "SP485" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2cef1401-14d9-43dc-b86d-4c4d10e86d41)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp e436134d-eae8-464a-9f82-7f4208188952)
+    )
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 14e0e9b0-8d6b-40e5-95df-66bb22610b9f))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 3493e471-4de5-4d65-919e-ea1fd88c1122))
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 75fc1a56-b76c-4c68-9ee1-37bedd34e463))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp e8c7d8d8-6120-424c-96c5-d87cea66d124))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 208c1062-fb27-440a-a991-44d12d8d5bd8))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 2db60a85-b08b-4d56-8a2a-4a73a202f1f3))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp da5f197b-2314-43f2-8145-a1e9fe441f41))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp eaf17ae1-ae45-4279-8de2-2c4434f438ca))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 05c3f351-8432-4646-8ab1-0f1e22965fea))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 7d413834-3ba1-45d2-9f55-7d83fa5018a2))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 80d41b02-8a37-4f9e-a360-c906481633a3))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp bc4928c9-0166-456d-876f-9b77e43e340a))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp f1ba0cec-4333-440a-9734-eaf1db256277))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 36 "/TXD_RO") (pinfunction "RO") (pintype "output") (tstamp c6b8ee50-6561-493e-82d8-9545b3140b27))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 46 "/~{TXD_RE}") (pinfunction "~{RE}") (pintype "input") (tstamp fba87ca8-0f6e-4a38-8d71-c98e2355a757))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 47 "/TXD_DE") (pinfunction "DE") (pintype "input") (tstamp 06079260-0999-4df3-82fb-dac2d7f641e5))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 39 "/TXD_DI") (pinfunction "DI") (pintype "input") (tstamp 5b817453-f8ae-49b2-bb39-25b257ed4b53))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp d45b81b3-e9c2-4f0d-b42e-47600b2a3a8e))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 17 "/TXD+") (pinfunction "A") (pintype "bidirectional") (tstamp a4bf8bf5-2d26-4593-9209-ae63fabe2ba0))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 14 "/TXD-") (pinfunction "B") (pintype "bidirectional") (tstamp 480b4fa4-8210-4815-9c5a-c9c4b1397653))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC") (pintype "power_in") (tstamp cd7669bb-c35d-4dcd-9f8f-9099b78dcfda))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 361f3689-c37d-4a1f-aed2-0c20a2329bb5)
+    (at 104 96)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/14c9362c-bdee-41d4-8509-e74a37ac2991")
+    (attr smd)
+    (fp_text reference "R4" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 9d4c4e0b-60b7-4ef9-8407-66d9414fb16e)
+    )
+    (fp_text value "1k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2e0edcd6-7450-49ca-b1c0-c5a4c6f67651)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp f9d2a389-397b-403f-ac86-f160cef28f32)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 40675962-2015-4727-acf9-20fb4f0b2b70))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp d131008a-d6c6-4b96-afbb-01fecc84d1aa))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3e52afc3-a958-462a-bb01-696c49c9f026))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 48141a8c-fd06-4567-9c32-d1af6fd6beb1))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 88f32179-1264-4bcd-8f64-880f2aab05f6))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ca3d8951-c1a4-4832-a6de-664ceee905c7))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 01bb37fc-ea40-4bce-9ab2-48a4bc94f583))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 259c41bf-8842-4500-8e76-ee2e98cbcbe5))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 3963858b-1cc3-40e8-96b5-61e2fe472a2e))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 739be2c9-c115-484b-8cfe-83c26065afae))
+    (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 3 "+12VA") (pintype "passive") (tstamp 47a75642-de42-48fc-8832-d27242b44e40))
+    (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 7e257fa1-d31a-4048-9215-42da543bab8e))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 3973a2ca-64dc-4c04-a866-cd7d9f67b119)
+    (at 106.25 101.25 -90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f002a3a7-cf84-40c4-bd67-963c1af67713")
+    (attr smd)
+    (fp_text reference "R2" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c0889b27-83b4-44a0-af21-883ea7531dc5)
+    )
+    (fp_text value "120R" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e8ee90e3-8e70-4fd6-a5f1-047e9498aa67)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 700b7fca-15d9-49e4-b4dc-0b195b386730)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 396bd183-caf6-4264-924a-29d8dbe74083))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp d251e6b1-0fba-4af2-b29a-579659e971ce))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 18567e74-5c68-4dc5-9cba-4f1e7386cbf8))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2fc56f70-125f-4909-9be1-580b67f85636))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5105f41c-6330-456d-afb1-383afd048faf))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp d6310ee3-bc8c-4445-a2bf-23f80d4789d1))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 817cc05c-b978-43e0-92b7-9636966e1253))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp a1e3c822-6e6c-4185-bfe6-45511b3527d2))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp a757f998-eb14-443d-8002-d691cd375c94))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp df1184a6-b2fa-4090-a9b3-1d01c79b1ecf))
+    (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 10 "/RXD-") (pintype "passive") (tstamp 98182779-80a8-476f-ae21-57cc3799bcde))
+    (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 13 "/RXD+") (pintype "passive") (tstamp 012873a3-7934-4087-8410-2956d7e17dfa))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_TO_SOT_SMD:TO-252-2" (layer "F.Cu")
+    (tedit 5A70A390) (tstamp 3bc2026f-34f4-4606-bdd4-edfd21604289)
+    (at 102.5 91 180)
+    (descr "TO-252 / DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/")
+    (tags "DPAK TO-252 DPAK-3 TO-252-3 SOT-428")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/c63e0ada-76e8-4bdc-b1f5-6b53dee96d5a")
+    (attr smd)
+    (fp_text reference "U11" (at 0 -4.5) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2ac523ca-7205-49f1-9361-d9025b96c0b1)
+    )
+    (fp_text value "MC78M05_TO252" (at 0 4.5) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 8cef052a-1067-4b4a-98c6-41b222f003d1)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 22d7c141-5d3f-4ce9-a62c-ca0b3206c354)
+    )
+    (fp_line (start -2.47 3.18) (end -3.57 3.18) (layer "F.SilkS") (width 0.12) (tstamp 0f5bca27-5170-4a37-aff9-c20bf4697655))
+    (fp_line (start -0.97 -3.45) (end -2.47 -3.45) (layer "F.SilkS") (width 0.12) (tstamp 349ad8eb-32a4-419d-ae09-98749d35b5dc))
+    (fp_line (start -2.47 -3.18) (end -5.3 -3.18) (layer "F.SilkS") (width 0.12) (tstamp 60ac9f0c-4105-4d49-83b1-76347cce716d))
+    (fp_line (start -0.97 3.45) (end -2.47 3.45) (layer "F.SilkS") (width 0.12) (tstamp b8d27372-3216-452d-a65d-6a1af9daf284))
+    (fp_line (start -2.47 3.45) (end -2.47 3.18) (layer "F.SilkS") (width 0.12) (tstamp ec4d34ae-d3bb-4dd1-ba20-a07f29916d3e))
+    (fp_line (start -2.47 -3.45) (end -2.47 -3.18) (layer "F.SilkS") (width 0.12) (tstamp f0fcb681-b80a-4b75-a31d-cd75edda7b1c))
+    (fp_line (start 5.55 3.5) (end 5.55 -3.5) (layer "F.CrtYd") (width 0.05) (tstamp 5c54af43-618e-4779-9d8d-ad793ca4c868))
+    (fp_line (start -5.55 -3.5) (end -5.55 3.5) (layer "F.CrtYd") (width 0.05) (tstamp 68282e5c-cbea-4bee-a32e-3b72520c4abc))
+    (fp_line (start -5.55 3.5) (end 5.55 3.5) (layer "F.CrtYd") (width 0.05) (tstamp a52d0464-c116-47db-bf54-10469b6cc7ad))
+    (fp_line (start 5.55 -3.5) (end -5.55 -3.5) (layer "F.CrtYd") (width 0.05) (tstamp dc76bbc0-442e-4929-bbde-1d27c9438fcf))
+    (fp_line (start -4.97 2.655) (end -2.27 2.655) (layer "F.Fab") (width 0.1) (tstamp 0245d163-1db9-488a-8e65-734ffde01ce9))
+    (fp_line (start -2.27 3.25) (end -2.27 -2.25) (layer "F.Fab") (width 0.1) (tstamp 10787bed-7ef7-4b0e-8cf8-9199d6b05845))
+    (fp_line (start -1.27 -3.25) (end 3.95 -3.25) (layer "F.Fab") (width 0.1) (tstamp 31d14c1c-bbbb-419c-a694-97e6f8134b59))
+    (fp_line (start -4.97 1.905) (end -4.97 2.655) (layer "F.Fab") (width 0.1) (tstamp 3a23585c-96bd-452d-95d0-b9d6ac1bff6e))
+    (fp_line (start 3.95 -2.7) (end 4.95 -2.7) (layer "F.Fab") (width 0.1) (tstamp 425b27d1-09f3-4196-a092-0e5e58008416))
+    (fp_line (start -2.27 1.905) (end -4.97 1.905) (layer "F.Fab") (width 0.1) (tstamp 4fb418d3-7855-4fd5-924e-82d533cee792))
+    (fp_line (start -1.865 -2.655) (end -4.97 -2.655) (layer "F.Fab") (width 0.1) (tstamp 70810999-06bc-472a-8927-3c74daefbf0a))
+    (fp_line (start 3.95 -3.25) (end 3.95 3.25) (layer "F.Fab") (width 0.1) (tstamp 80e5d40a-32ed-4d2f-9d58-c2065402a0db))
+    (fp_line (start 4.95 2.7) (end 3.95 2.7) (layer "F.Fab") (width 0.1) (tstamp 974d45aa-d6f4-4777-b304-5652cb36dca4))
+    (fp_line (start -4.97 -1.905) (end -2.27 -1.905) (layer "F.Fab") (width 0.1) (tstamp a3202a65-9720-4b31-b023-f995b29ddd00))
+    (fp_line (start 3.95 3.25) (end -2.27 3.25) (layer "F.Fab") (width 0.1) (tstamp ad85fb61-03e6-4231-ab0a-be476228803c))
+    (fp_line (start 4.95 -2.7) (end 4.95 2.7) (layer "F.Fab") (width 0.1) (tstamp b0538559-f9b2-4287-a438-c2413b9fcf73))
+    (fp_line (start -4.97 -2.655) (end -4.97 -1.905) (layer "F.Fab") (width 0.1) (tstamp b418b985-6d76-4c01-898a-ace5a097ff2a))
+    (fp_line (start -2.27 -2.25) (end -1.27 -3.25) (layer "F.Fab") (width 0.1) (tstamp fec6b579-f6cd-4e2f-ba0e-bb648f7b6e74))
+    (pad "" smd rect (at 3.775 1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp a4483c6a-0167-4466-b52e-d85049ab1556))
+    (pad "" smd rect (at 0.425 -1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp dba6f557-b335-4337-b438-5e54791c7224))
+    (pad "" smd rect (at 0.425 1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp de3fb900-63bb-4711-b32f-1bea05505e3f))
+    (pad "" smd rect (at 3.775 -1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp ea5f0f80-2e25-4c9e-8624-6fe681b76245))
+    (pad "1" smd rect (at -4.2 -2.28 180) (size 2.2 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 3 "+12VA") (pinfunction "VI") (pintype "power_in") (tstamp 8ea26c6f-ab57-4438-9055-be7abc0aa801))
+    (pad "2" smd rect (at 2.1 0 180) (size 6.4 5.8) (layers "F.Cu" "F.Mask")
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp 98331747-73f3-4e97-9156-937f501c6185))
+    (pad "3" smd rect (at -4.2 2.28 180) (size 2.2 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 5 "+5VA") (pinfunction "VO") (pintype "power_out") (tstamp 93dc1efe-2419-48a0-9ca6-def4ec91371d))
+    (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/TO-252-2.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 3e2bdccd-462e-4bcb-b3e0-05b01486706f)
+    (at 114.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/05e6fc07-ec20-47dc-8c98-fb39870e0f88")
+    (attr smd)
+    (fp_text reference "R15" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 9c5cee1c-5178-4017-84e4-294d3bbe12e8)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp edbd2ae3-0c4c-4f3a-80f6-5bb9ee06c81c)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 2ff37063-a582-4b80-b458-084ceac60055)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp b97d2888-7f6b-4b88-b921-ce2b4ebba957))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp f06c5530-3531-410b-b16c-a9296c6ac35a))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 38322cf0-27ae-40cb-98d3-99b181ff21d8))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 426982a3-1d9d-4c23-9775-f69e9d37b827))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 66e6b9eb-66b9-4a64-8815-7babc5590997))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e8f3be2e-a2e7-4b16-847c-0e493c4c4d32))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 230c4881-1a23-4b76-ae1d-aa7776894334))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 7b636250-de31-4147-8722-9dc968b30c72))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp beb5fbfd-0177-426b-876d-6ea879fe6cfe))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp f174fbc4-0c59-4ade-8245-b11a5c9c1d76))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 45 "/RXD_DE") (pintype "passive") (tstamp 95c5c77a-3cd1-4519-b062-42586c9e0a18))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp f8089336-bb2b-47c4-a271-7e5f2876cda3))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 41f63a98-e866-4ce1-ae31-0d885c405a2b)
+    (at 122 85 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f6a1004f-a5f8-44b8-aa3f-11e5a9e4a0e5")
+    (attr smd)
+    (fp_text reference "C4" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d111ab6e-467c-4299-b2a8-d10830f0a6af)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 6d062b72-9909-44d8-8a46-51107815ade6)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp bbda3910-e0d8-495a-a9e3-34b6ddc25f63)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 0dfc333f-360c-4434-8576-e6800c843a4d))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 68d578bc-f9f5-4384-80f8-35d98d88fcbc))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1fc51153-72a4-457a-963e-e854f6aabe6c))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 39d0fcf5-8b74-4d05-bf9c-45342ec12d8c))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b29e94bc-2486-41ef-8719-f0e1afaaaf11))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d9dea151-5d00-46fe-8375-4811b0af01fe))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5081723a-54f7-4ce3-8b01-aff81ee4d7d9))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 96f1b193-3768-4666-a6df-a255a1466663))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d0db9200-0b10-4eac-8b9b-794fe5eb981a))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d3a10d11-ca15-433d-90db-ee3f1a5fa35b))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 335c4d2d-b891-47d0-a5fe-1bfd3900366d))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 3b36fde4-6d87-4cf9-b844-53f51300c9bd))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 445c5b5b-ea03-46e5-ac82-5d47845b036f)
+    (at 125.25 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/76379fa9-c05e-4e04-ac01-4d076e8e60f0")
+    (attr smd)
+    (fp_text reference "R8" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp a81bc417-fea1-48c2-8a20-670a8404bc88)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp a1d7a37d-e9e6-4b5c-91c6-a7322e295a64)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 958af94f-5357-4def-9a34-aec7a12d67f4)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 13c82e59-e03a-43c5-b735-f4e10fbc4cfa))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 30d1fdd7-af04-48ad-9a2f-def88d056426))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 085e74c8-8543-46b4-aaeb-6e4958aec230))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0def01ce-89ce-4d99-b591-6f01986df028))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1226ce6f-aa50-463e-9d4f-8b036f832757))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 268d7efe-c66c-4476-aaa2-adbad4da406a))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 6a4ec2b5-09e6-4185-92f4-db30a97cd9fb))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 9b1d117d-2709-4aab-95a8-59174f07b537))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp bec3c949-367b-4281-9a8f-d23804469235))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp e5c10c77-8c7c-4202-8291-df2d83e511fd))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 3cd5c861-1432-4252-89dd-a1b316310f04))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 31 "/~{RXD_RXEN}") (pintype "passive") (tstamp d445d68d-7b94-4896-8976-0080b4e27e38))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 4da87648-aee6-472b-a21e-e354850e8116)
+    (at 104 97.5 180)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/2cc3c96b-e4a9-4807-a309-5cb64c75a1fb")
+    (attr smd)
+    (fp_text reference "F5" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 00f1ea42-e090-49c8-b266-5de317bbe7f2)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d5e27f36-be90-4600-a4b4-0648a7aa38e0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp cf14bba9-71ed-461c-9732-19d460e1534c)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 640d8457-5fac-4cd4-82bd-b358052fdbc9))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp f4ec38c8-e089-49d3-b999-b426845cf5c6))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5005f49a-f715-4809-ac10-7088941c02a5))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 80a39728-6b17-442e-a52c-0c06e7f0b912))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 93926d54-8b34-4027-a079-20634cd763b2))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fde0d7a2-68de-4047-be95-2cd0d5e8defd))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 118a1ad1-8323-4e38-9900-42534fd2e450))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5ef7c8ee-c40a-44a1-a949-fa9e71001590))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ad88b292-60f5-4bd9-ae70-39b0764867f4))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp fa309f51-b3a1-4f88-947a-8ffe5c5b39a1))
+    (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 14 "/TXD-") (pintype "passive") (tstamp 1bcd9345-c236-4de4-8b39-4ea23c4d5c9f))
+    (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 15 "Net-(F5-Pad2)") (pintype "passive") (tstamp 04bcd431-3b72-4d78-9346-43d52b538b2f))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 564fdd31-a6b0-4dcd-8b7f-bbae2b5daf20)
+    (at 104 99)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/4218b289-c8bc-40ed-a633-54c36234cde1")
+    (attr smd)
+    (fp_text reference "F6" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c6a7b950-8b88-4bc7-aaeb-6372ff9e4d78)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 63fc798e-b777-439a-ae85-14173f84a421)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 9b066fa7-51cd-4a8d-94d9-2453944039c8)
+    )
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp ea3423d5-5d1f-4ae1-8581-3befbcc2e84b))
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp edfd1538-42bd-4333-ad26-20dd1eb1339f))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3c81ea0c-fc61-43f1-aff6-032b879d3210))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ce68f3ba-f081-4a3b-bc73-de781d0ab0a2))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e19402bc-f4ad-4fd4-9354-668392dd4b7c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fd1faa3b-2346-4091-aed4-bb2e8b9788e7))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8546630a-67b2-477a-9c07-73ab8103153e))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 9c682f68-1576-4606-a427-1d3766751eaf))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d9b086fc-b976-40cf-b85c-5574ed0a8d70))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp fd9a41ec-933b-4aee-9e76-41b7574f25f4))
+    (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 16 "Net-(F6-Pad1)") (pintype "passive") (tstamp aedeb81b-db28-4a81-9034-03bdcc7d6ba0))
+    (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 17 "/TXD+") (pintype "passive") (tstamp 9624d0b5-406d-4f00-855a-a2c46eb43036))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 6234f7d6-a2bf-427c-bec8-2369e1af0a6b)
+    (at 117.5 81.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/370d7aea-c5df-44d3-84d7-e54ffd20bce3")
+    (attr smd)
+    (fp_text reference "C1" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4dc28666-c7a3-4914-af6a-e9320d7e6dd8)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 0d5e7e79-3b50-4494-b020-89fc36fd3e46)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 8e5f7c0d-f0b7-439e-88e1-6b9140ef1ce1)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp eb1c6066-8109-4108-bbec-533cc2f07fb7))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp f6bd58ed-b3ed-444e-a4f6-2c2c70ee5b5a))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3a4c76ff-018c-43e6-895b-493d4b8e73ee))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4e5dbbe5-4f20-4b00-aa33-60747d65edfa))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 537e5360-a3e3-411c-af44-32d7ab48a1f6))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 822a956b-ff23-4941-9853-9b409be9670e))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5e7394c7-3057-4a56-b810-ec4bb70341b9))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 76df21bb-e05f-4693-ae46-b3fd1f10bef6))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b2e58b71-8c60-4116-bc07-0e21eb838064))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c4b118da-e42c-4dbe-9127-0d95321699a0))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp bc2b5ec2-e8b7-4b56-b27a-6a32c54b7569))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 07220a64-d337-4d79-9480-7edaac31f8a9))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 629557ed-d024-4ee3-ae6f-a432563c4a43)
+    (at 110.25 102.5 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/72eb8031-447e-41e3-aaa5-59f792bf0a9e")
+    (attr smd)
+    (fp_text reference "U8" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 27256a76-f53a-47bc-bd0b-ad385ece9f66)
+    )
+    (fp_text value "SP485" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1c3653bc-32d6-40ea-916d-d4b6c6ca4496)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp 608ff4b6-42bb-44bd-8828-e3442eecedb7)
+    )
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 40eda8e5-ba70-4deb-84ff-46b086ff4ba4))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 6bbca269-6e22-4276-959a-13af5c5cbc19))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 95ff61f4-eb52-46c9-b717-f416b2d2475c))
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 9d632d12-4d8a-48be-a831-98dcbe664283))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 3fbc11eb-98db-4c58-9bd7-c6d2970dcd98))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 7b059b6c-fe39-4396-8f30-5522c865970f))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp ced7669e-0775-40b9-b73f-6926589e04b1))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp d7902ecf-2c48-4e25-90f9-d2089756f135))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 2c416628-de3d-4f94-9bb2-f06edcc8f7bd))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 6d13d148-afa3-4d49-be5c-81b6a4758b42))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 7e2688eb-9328-45f9-960e-7dd0b8294235))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 7fd7b48e-a7d0-4938-9e09-8785a2ea81c6))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp b785884b-d81b-4db8-bd15-b6ce2508ace1))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 38 "/PPS_RO") (pinfunction "RO") (pintype "output") (tstamp dcdd15b8-24a7-4736-98ad-0623bd34a467))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 43 "/~{PPS_RE}") (pinfunction "~{RE}") (pintype "input") (tstamp ae78937a-1bad-4fa9-9370-d138d64c9355))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 51 "/PPS_DE") (pinfunction "DE") (pintype "input") (tstamp f7169c89-6b76-4ddd-8f92-3e6403dc7cdb))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 41 "/PPS_DI") (pinfunction "DI") (pintype "input") (tstamp dd076259-f292-483a-82d6-99c6e2b1fb0a))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp 37d8dbf9-7f0c-4710-9b96-ba0d2bd4af22))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 9 "/PPS+") (pinfunction "A") (pintype "bidirectional") (tstamp 28325904-3d79-46fe-a249-30a0ece4a323))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 6 "/PPS-") (pinfunction "B") (pintype "bidirectional") (tstamp c6d335e6-ef08-461f-848b-f266e1c346bc))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC") (pintype "power_in") (tstamp 9cffacef-86b8-4666-98a4-e891f5732fb3))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 67789bf6-a9f0-4d91-aff4-e7f3fc889b1a)
+    (at 116.25 102.5 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/65886466-a3a0-44a6-bd3e-eb8f54898fb1")
+    (attr smd)
+    (fp_text reference "U9" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 04e7814e-810f-453c-b761-57bab8d2b958)
+    )
+    (fp_text value "SP485" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 5d663dc9-352c-460a-907a-ae084dfa507a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp b89ab3bb-46d9-4806-a48c-ea7597626918)
+    )
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 4ebcfc39-23fb-47f3-ba98-934458c286ae))
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp b6390a07-5bf6-4c22-90f8-48383d9dca27))
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp fa33e597-94e0-4c69-b80c-84fec265302f))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp fff90550-183e-4a8b-ae90-ebd884009bed))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 5de25438-1c2c-4499-a30e-c27ab03fe7c3))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 8f97c12a-fb64-43e8-991c-44d9e6b1d000))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp b0a2d0fe-6a0a-422a-b3a4-0f1ac2e90426))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp b8d62134-2fd4-4978-b4e7-457e16688ff1))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 2ba8281d-4272-4fea-8eb0-c17407ef4242))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 55a701fb-9195-474e-8922-88ca1b5cab6a))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 585d33a4-230f-4bdf-9b4c-ce0b348cac93))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp b08fa9a6-772d-45d0-b93d-567548c579e4))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp d147253a-b430-4025-a800-e4c51d931569))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 37 "/RXD_RO") (pinfunction "RO") (pintype "output") (tstamp 184fa3f9-a494-4db8-bc4b-d7092a2fdcec))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 44 "/~{RXD_RE}") (pinfunction "~{RE}") (pintype "input") (tstamp 56275207-d34f-424b-8f5d-3e87f5991fa2))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 45 "/RXD_DE") (pinfunction "DE") (pintype "input") (tstamp 71d3516a-d7c8-4a98-aee4-424b0465c64c))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 40 "/RXD_DI") (pinfunction "DI") (pintype "input") (tstamp 20a912d0-e9b2-4ab5-b3b2-bd8df6c502a8))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp b20e4091-edc1-4a6b-b70f-8b8c092f83dc))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 13 "/RXD+") (pinfunction "A") (pintype "bidirectional") (tstamp 59352614-200c-4032-ba46-c180d3faf398))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 10 "/RXD-") (pinfunction "B") (pintype "bidirectional") (tstamp 306a0c67-e1ea-45a1-8410-893211e85518))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC") (pintype "power_in") (tstamp 01cac735-91f9-4417-a89d-44c9c2339d74))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 6c4283fa-7ffe-420f-8657-adb7e43051d5)
+    (at 110.25 107 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/cdc9edc8-7bbc-4f10-94cc-a31c71e81e1b")
+    (attr smd)
+    (fp_text reference "C11" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 5d172917-d565-4344-a7c2-e8f15478d315)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 08d7307f-756b-4a17-bb20-ee4dccf3b2e0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 57e26447-b7e8-42b0-b413-1b574db8c9e6)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 15bf4059-f575-489a-acda-6cc0e101b79d))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2b484ce2-27f0-421a-a17f-58ee8cffbee4))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 615fe905-94c2-43cb-841b-137fa0dc1fb0))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9d9c5cb5-e71a-49cf-ad16-078d3450384b))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b61ca77e-69d2-49cf-9595-85af1657e1a0))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fa78c02c-3eb8-4e64-afb9-627386b504a2))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 410b799b-d62a-4951-b745-dfe0819c38c4))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 579a2fd4-8b27-406d-8303-07efab3e0659))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp bc6fa8d6-e636-44ad-90c1-401f5d359400))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d7a96047-8ad6-41e3-bbb8-9aaadac134d4))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp b6428cae-8bff-47bb-9adc-399f0879c91e))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 4f571bee-d7aa-45b2-8b78-ec7a35d0bffa))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 7218cb81-0813-4983-8353-b3fa580196a5)
+    (at 122.25 107 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/0972fc5a-7164-4601-b8b1-52f8753cce7c")
+    (attr smd)
+    (fp_text reference "C13" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1501bd87-05e9-4e10-b2f8-dd6af49e4883)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4a257df5-a771-469d-b64a-2a96b9909ea2)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 5ae0e7e0-4b4a-4a2c-bbc9-485c4f871ed5)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4add9971-c755-4240-9675-f7b7b0942460))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 62c8ceb1-9be1-4101-bc3f-b83a31098764))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1ab1e723-e1dd-4c02-888b-baea67863ff8))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2e287891-4a7f-4d98-bb81-adb1b6c0dc2d))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4481a4fb-7ed8-4983-9770-c9218fddc851))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f5e74788-eb46-4180-b67e-11f40ea71aab))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 02669e75-2311-4eb8-a274-dbdf3fba6fc5))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1b340dbd-687f-42ca-9a4a-8a1de481c94d))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 204f92a2-ff15-40bf-b29c-d9a2d4adfe7f))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp fb7ea500-0ef5-4843-8a02-ccbefecb7737))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 41490bc0-b650-4011-a905-ab1ff5c3096f))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp b1333026-6c8a-439c-847d-5d916492fe97))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 786e4f42-e243-4fb9-82e7-38d1194424c5)
+    (at 104 105)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/a9c95a60-06c7-4a45-b110-b16148166e07")
+    (attr smd)
+    (fp_text reference "F2" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp f5c6c976-8c70-4716-b389-1cc78bc5c16c)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c2aa7562-a89e-483f-b6db-39f371450b67)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp dbfadba3-befd-4bba-a8da-91ecdcf95ff1)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 29fd10ce-a609-4b82-b7f2-ba82e911d9b4))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp a65ec966-b715-4e76-b208-89cc1932b7dd))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 678fc551-71ff-4166-b4b5-9e7dd73fe206))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7aa52416-92c1-4f07-9b8e-61cf1797600f))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9d5dc203-ae8a-448e-af55-9d5b72898951))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ea72e608-ceb7-422c-8134-e23ece69b7bd))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7a44f1b7-b09d-4be6-8e75-d5d55a94abc9))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp aa6c5f72-9600-4c5d-a698-6837bb787f48))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e4799781-8c0b-4e1f-b309-7f16d7f2343d))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fbd5ccf3-a6c6-4fd5-a39a-704b37bd911d))
+    (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 8 "Net-(F2-Pad1)") (pintype "passive") (tstamp 4f0a4166-7053-4ab2-b11d-c031ecfdffb3))
+    (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 9 "/PPS+") (pintype "passive") (tstamp 4dda0612-d91d-4446-99c1-61cc47777d41))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 84820a73-62ae-4434-bd4b-115a12de35d2)
+    (at 104 102)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/af8d14ee-39e9-4785-ae0c-6b90163ddde7")
+    (attr smd)
+    (fp_text reference "F4" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp bb5d6ae7-a5d5-44aa-abfb-562ae8322dd5)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp cd939abc-bf22-431e-a217-fe78cc9d5fc3)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 1d64f90c-1220-4920-965f-c30e836b4a31)
+    )
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 69b477f9-89b9-4ff5-a3e8-a9678c381e0d))
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 766f26d3-a67c-4417-9754-6fdb50d4bc4f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4e371923-4e7f-4e6e-a747-1c3f8a246856))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 768135c8-f3d1-450b-b561-c9229f7e7e1f))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8343c9b0-9a11-4123-b512-979439600788))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc4d22b6-9f42-420b-a67c-3abdba26cc66))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 35973f67-9cd2-4089-87e9-6b65f1381f77))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 67ad0dac-f62e-4f65-a918-3a8c8f52eb27))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8be6100b-232c-42ed-8927-2ee292bbabe7))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f32e2602-e833-4d83-95ae-27208a86cef0))
+    (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 12 "Net-(F4-Pad1)") (pintype "passive") (tstamp 32770eac-4776-4a43-9459-5732c67a1cb2))
+    (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 13 "/RXD+") (pintype "passive") (tstamp 809757ab-65ae-440d-9bc4-a19a2ca0a85c))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (layer "F.Cu")
+    (tedit 5EBA9318) (tstamp 86935f21-3aa9-4362-bd78-f36184e81d38)
+    (at 109.75 93.5 90)
+    (descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor tantalum")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/ff81f0cf-d80e-4f16-9dd5-111ba49e9f46")
+    (attr smd)
+    (fp_text reference "C7" (at 0 -1.75 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 22a0ff71-381c-44c2-91a2-13c8b1774ce2)
+    )
+    (fp_text value "10u" (at 0 1.75 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d7e9f51a-84a9-417a-bea1-6596a22d480a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.8 0.8) (thickness 0.12)))
+      (tstamp 59fb9844-ed68-4afb-aaaf-3185bd580099)
+    )
+    (fp_line (start -2.31 0.935) (end 1.6 0.935) (layer "F.SilkS") (width 0.12) (tstamp 0e51d326-cac8-4c95-9a03-1ce740f19216))
+    (fp_line (start 1.6 -0.935) (end -2.31 -0.935) (layer "F.SilkS") (width 0.12) (tstamp b63fa917-35de-4d56-80c8-220ddb64d167))
+    (fp_line (start -2.31 -0.935) (end -2.31 0.935) (layer "F.SilkS") (width 0.12) (tstamp fb376e45-07a9-4cd9-aba0-c3273fdc4ed2))
+    (fp_line (start -2.3 -1.05) (end 2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0eb349ad-37c6-4f24-b735-d4378bfcdaea))
+    (fp_line (start 2.3 1.05) (end -2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8552a315-1b89-4085-aba3-1e7a263fe68f))
+    (fp_line (start 2.3 -1.05) (end 2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp d02f56ec-c618-443b-8bbc-606fd4ead535))
+    (fp_line (start -2.3 1.05) (end -2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp e64d0c22-d49a-40be-940c-a10851760df9))
+    (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 1de79ded-0939-43ee-8780-a4ef02d5dafc))
+    (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 44682921-b4e3-4681-8df7-e0b43be7919e))
+    (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1) (tstamp 70c80366-0825-410a-abc8-11f44a1d6764))
+    (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp 906af166-9cc2-4637-8cbf-1553b6b1c41e))
+    (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1) (tstamp c787aef4-d582-487b-9fcb-c283260d75a5))
+    (pad "1" smd roundrect (at -1.35 0 90) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 3 "+12VA") (pintype "passive") (tstamp e2526033-8781-43b6-9e3f-8b002f718543))
+    (pad "2" smd roundrect (at 1.35 0 90) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 4 "GNDA") (pintype "passive") (tstamp 4d71ad9f-d2cd-44c3-b376-2e60e3e0df87))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 8b245260-dfd9-4f4f-b40b-18628a7acead)
+    (at 132.25 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/e2d99e22-1fca-4957-ad42-32c2a1e74969")
+    (attr smd)
+    (fp_text reference "R10" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 64806d61-e571-4dcb-b53f-943ad4814b5e)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 42048548-317c-4bb6-91f2-930bd14b7b00)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 95cc9a59-8b70-4897-8af1-1ca1784ac43c)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp a92fcc47-d691-4528-ad18-41570ab0105d))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp b0dd9fcb-b6f6-470d-afdc-cc2ca507358a))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 66db7f54-7c85-4c5d-9f8d-185b078e9eb5))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7fbbb42b-f7a8-4279-a3df-3e62f8a66ca0))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9ac88278-ce50-479c-9b9b-0fbc9fbe85f7))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b8c93c27-1464-4be8-9c0e-941f89b17e57))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 0557bc9a-efbe-446d-9bc3-1047d135a29e))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 6593d32e-04a4-4f96-9e4e-8b2d8a3a6aa1))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 97dcb374-950c-4701-a8fb-468e168e314e))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b1dbcbdc-5142-468a-8483-256a145929a6))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp ceb13aa6-e2fc-487c-bb36-b7e3d604b117))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 35 "/~{TXD_RXEN}") (pintype "passive") (tstamp 11e49680-4b80-41f5-8f24-ee2c8b2845f3))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 908e8050-60b0-485c-b0c8-36e57ee273f4)
+    (at 123.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/32c94a20-5c46-4e34-98fa-24a4f70995b6")
+    (attr smd)
+    (fp_text reference "R13" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1fa617c5-e2d8-4a24-a54b-2486e26f4fb0)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 922e585a-b22e-4ce0-a69f-628bde00d337)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp f81e2385-88bd-426a-abd7-b2eaf704411c)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 10a6acfc-be99-4766-85a5-52edd58f58f4))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp a47700db-58f8-4433-a8f6-9b161885c438))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 70b3b093-799e-41c7-b3af-b0dd3bc7bc1e))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 93babffd-c945-4795-8a56-4d871dd6f08d))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a49ec0fa-7fdb-46d8-9d6c-c60350c00f34))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp af80c66c-19cb-4881-aeed-1f2c98eb2fee))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 1e473394-8505-4e9c-929b-38c5fd1a8957))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp a0a3d7ba-35dc-4de3-9cd5-78d7938fd128))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp d280ca58-7341-4709-ad06-5cb150284670))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp eb17bf99-eb5a-4a6f-9e02-b4dd55154116))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 675fe08c-5d1f-49e3-9e7a-da24637d1407))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 46 "/~{TXD_RE}") (pintype "passive") (tstamp 91ad9b8c-eba6-4595-962f-ac32dfaec9fe))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm" (layer "F.Cu")
+    (tedit 5A02F25C) (tstamp 95145f45-9c9d-46da-bdb7-6a65e5649233)
+    (at 121 80 -90)
+    (descr "TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf)")
+    (tags "SSOP 0.65")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/a0795657-8926-4456-8f97-fd74dc222aaa")
+    (attr smd)
+    (fp_text reference "U2" (at 0 -2.55 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 348f5f24-10c1-44ec-960e-ed9ccf3c5b35)
+    )
+    (fp_text value "74LVC2G125" (at 0 2.55 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 031db5cd-1e4a-4657-accc-961c5257cf19)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.6 0.6) (thickness 0.15)))
+      (tstamp 2d511057-7733-471a-a88b-c7d8ce245b1d)
+    )
+    (fp_line (start 1.625 -1.625) (end 1.625 -1.4) (layer "F.SilkS") (width 0.15) (tstamp 10e43f33-c174-461d-96e2-491c1cb182fa))
+    (fp_line (start -1.625 -1.625) (end -1.625 -1.5) (layer "F.SilkS") (width 0.15) (tstamp 1fbd686e-7587-4c47-951f-7f31bf2bffdf))
+    (fp_line (start -1.625 1.625) (end -1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 59a32bbc-11d1-4ace-aff8-6df9e76bf338))
+    (fp_line (start -1.625 1.625) (end 1.625 1.625) (layer "F.SilkS") (width 0.15) (tstamp 665c70c9-d832-41f5-a293-6dec5ceab148))
+    (fp_line (start -1.625 -1.5) (end -2.7 -1.5) (layer "F.SilkS") (width 0.15) (tstamp b4fdf9d4-5480-4d47-acf9-d15dec6030ca))
+    (fp_line (start -1.625 -1.625) (end 1.625 -1.625) (layer "F.SilkS") (width 0.15) (tstamp c51b19eb-1a5b-4e5f-a2b0-8bad618fd00c))
+    (fp_line (start 1.625 1.625) (end 1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp e3630269-5e63-40ba-8f55-a97b7320e34b))
+    (fp_line (start -2.95 -1.8) (end 2.95 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 3041a9f4-3f81-46b6-9e3f-55df0621649c))
+    (fp_line (start 2.95 -1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp a7d8c2ff-af6b-43fd-aee8-6d8ada73845e))
+    (fp_line (start -2.95 1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp bf1e11c7-aca7-4f84-af64-ae5bcc09ad97))
+    (fp_line (start -2.95 -1.8) (end -2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp c2e5826b-07f0-484f-b32c-6595dc0b4501))
+    (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 0fe4ddf7-7bbe-4049-b7b8-534dea6d7102))
+    (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 391b2f28-3a6f-4029-96bc-8734f851b0ef))
+    (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 53983951-742f-45a2-ac9f-b382b67d2d1b))
+    (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp a4e6eeac-766a-4e8d-86b2-64938c9bb200))
+    (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15) (tstamp ea93e3d9-80e7-4e86-823e-094c8231bf92))
+    (pad "1" smd rect (at -2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 28 "/~{RXD_TXEN}") (pintype "input") (tstamp 594ba347-9be8-4f2e-8ae9-d71d547cc414))
+    (pad "2" smd rect (at -2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 23 "/UART_TX") (pintype "input") (tstamp 71fa5b6c-0c63-44c8-8cc1-b29887dc4546))
+    (pad "3" smd rect (at -2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 22 "/UART_RX") (pintype "tri_state") (tstamp 89e1c631-b911-4c88-a043-43ea8b576666))
+    (pad "4" smd rect (at -2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp eac0932b-b3ca-4c24-8bad-4f5f3370a0bd))
+    (pad "5" smd rect (at 2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 29 "Net-(U2-Pad5)") (pintype "input") (tstamp eda3a113-3607-40a1-b88c-904aaea9cbe6))
+    (pad "6" smd rect (at 2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 30 "Net-(U2-Pad6)") (pintype "tri_state") (tstamp e8af7d7d-b9fd-4622-bac5-332bf2d1f963))
+    (pad "7" smd rect (at 2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 31 "/~{RXD_RXEN}") (pintype "input") (tstamp d4e58e19-1330-4448-923e-c1c6c359266e))
+    (pad "8" smd rect (at 2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 5ffaa477-f043-4139-8ded-1dc1b1243aff))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_3x3mm_P0.65mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (layer "F.Cu")
+    (tedit 62FA68B4) (tstamp a7278de2-2ba9-4c30-ab9e-d15831da4386)
+    (at 115 90 -90)
+    (descr "SSOP16: plastic shrink small outline package; 16 leads; body width 3.9 mm; lead pitch 0.635; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot519-1_po.pdf)")
+    (tags "SSOP 0.635")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f1105a6c-0c26-43c0-bd87-a7307164ea7e")
+    (attr smd)
+    (fp_text reference "U4" (at 0 -3.5 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2f5a7cba-f598-420f-b9cb-c84ea7608aa8)
+    )
+    (fp_text value "Si8663" (at 0 3.5 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2c18c267-3481-4dad-ab53-c29707b26210)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.8 0.8) (thickness 0.15)))
+      (tstamp 0abff9dc-a692-495d-a1b1-325407bfb3f9)
+    )
+    (fp_line (start -3.275 -2.725) (end 2 -2.725) (layer "F.SilkS") (width 0.15) (tstamp 48de1808-b268-4f82-9ceb-6590a26d7c89))
+    (fp_line (start -2 2.675) (end 2 2.675) (layer "F.SilkS") (width 0.15) (tstamp 942c6b26-d6dc-4d9a-9f76-fb8a805689b8))
+    (fp_line (start -3.45 2.8) (end 3.45 2.8) (layer "F.CrtYd") (width 0.05) (tstamp 1232ce7a-1f77-4a6b-96e8-51eae39611b2))
+    (fp_line (start -3.45 -2.85) (end -3.45 2.8) (layer "F.CrtYd") (width 0.05) (tstamp 87828f6b-9281-4119-a841-aaacdf1b530a))
+    (fp_line (start -3.45 -2.85) (end 3.45 -2.85) (layer "F.CrtYd") (width 0.05) (tstamp d21cc333-d8d4-4796-a311-ed31fd4096d4))
+    (fp_line (start 3.45 -2.85) (end 3.45 2.8) (layer "F.CrtYd") (width 0.05) (tstamp e79863d9-1813-4ddb-90e4-2c840bafe063))
+    (fp_line (start -1.95 -1.45) (end -0.95 -2.45) (layer "F.Fab") (width 0.15) (tstamp 289a721b-6be1-4835-b34a-fca2d542a51b))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.45) (layer "F.Fab") (width 0.15) (tstamp 4b8f20f0-5906-4e45-bba2-c940e91e1ef7))
+    (fp_line (start -0.95 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.15) (tstamp 9a063425-3d0e-433d-8a63-e88faa623b4c))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.15) (tstamp e7099d54-7f35-4f04-8308-741facbad651))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.15) (tstamp ebb8546b-e1e2-4486-a44c-cf2c6d685152))
+    (pad "1" smd rect (at -2.6 -2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "Vcc1") (pintype "power_in") (tstamp 16dd0576-4d12-4d45-a565-e61ed0d86ac1))
+    (pad "2" smd rect (at -2.6 -1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 26 "Net-(U1-Pad6)") (pinfunction "INA") (pintype "input") (tstamp 4117a21e-d0cb-4a5d-a091-6cd28f5a32e6))
+    (pad "3" smd rect (at -2.6 -0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 30 "Net-(U2-Pad6)") (pinfunction "INB") (pintype "input") (tstamp ba68b99d-f1e8-47d5-8506-5d7612cd4cea))
+    (pad "4" smd rect (at -2.6 -0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 34 "Net-(U3-Pad6)") (pinfunction "INC") (pintype "input") (tstamp 2346b426-08e4-4533-94e5-65f1c02881a9))
+    (pad "5" smd rect (at -2.6 0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 25 "Net-(U1-Pad5)") (pinfunction "OUTD") (pintype "output") (tstamp c93668df-0cdb-4890-b75b-ef8efab2275c))
+    (pad "6" smd rect (at -2.6 0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 29 "Net-(U2-Pad5)") (pinfunction "OUTE") (pintype "output") (tstamp 238dac24-73eb-454c-b2d3-6aaf19e3cc29))
+    (pad "7" smd rect (at -2.6 1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 33 "Net-(U3-Pad5)") (pinfunction "OUTF") (pintype "output") (tstamp f9bae08d-94a7-4257-994a-a097b4c24f71))
+    (pad "8" smd rect (at -2.6 2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND1") (pintype "power_in") (tstamp a20c99f7-bd54-4e05-a5cd-46449424761b))
+    (pad "9" smd rect (at 2.6 2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 4 "GNDA") (pinfunction "GND2") (pintype "power_in") (tstamp 59dd44b5-13b2-40a6-93c8-d024b36a5652))
+    (pad "10" smd rect (at 2.6 1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 38 "/PPS_RO") (pinfunction "INF") (pintype "input") (tstamp ee6f8a12-492b-45aa-91ec-651d924031be))
+    (pad "11" smd rect (at 2.6 0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 37 "/RXD_RO") (pinfunction "INE") (pintype "input") (tstamp 68f3a426-53f6-415d-88af-95f01b6ff9cc))
+    (pad "12" smd rect (at 2.6 0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 36 "/TXD_RO") (pinfunction "IND") (pintype "input") (tstamp 5535b40a-54db-4846-967d-9613a617b0e6))
+    (pad "13" smd rect (at 2.6 -0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 41 "/PPS_DI") (pinfunction "OUTC") (pintype "output") (tstamp eda6f147-6052-4e8f-8c73-00c39ba78aff))
+    (pad "14" smd rect (at 2.6 -0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 40 "/RXD_DI") (pinfunction "OUTB") (pintype "output") (tstamp 48ed2782-d7a6-4b2a-8017-505be26eb13a))
+    (pad "15" smd rect (at 2.6 -1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 39 "/TXD_DI") (pinfunction "OUTA") (pintype "output") (tstamp 4fb430db-66a8-4615-bcef-50b4f4a5e2c7))
+    (pad "16" smd rect (at 2.6 -2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 5 "+5VA") (pinfunction "Vcc2") (pintype "power_in") (tstamp 546ff0f5-ebb4-4e33-9ad4-e552abeff83a))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SSOP-16_3.9x4.9mm_P0.635mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm" (layer "F.Cu")
+    (tedit 5A02F25C) (tstamp af6c41b0-fdf5-43d1-9a84-a52ce8650eb2)
+    (at 128 80 -90)
+    (descr "TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf)")
+    (tags "SSOP 0.65")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/1241c5c8-3bf9-4ac1-a0e7-c680388dae3e")
+    (attr smd)
+    (fp_text reference "U1" (at 0 -2.55 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 72443a5d-af1f-4f9a-aa4d-e55d2213c1f1)
+    )
+    (fp_text value "74LVC2G125" (at 0 2.55 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c23675e5-b013-4b8b-b52d-57a4a240b41a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.6 0.6) (thickness 0.15)))
+      (tstamp 15572baf-d7ab-43a2-921c-f984e881dc8e)
+    )
+    (fp_line (start 1.625 1.625) (end 1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 29f4095d-39c4-47f0-b425-d8729a426b01))
+    (fp_line (start -1.625 1.625) (end 1.625 1.625) (layer "F.SilkS") (width 0.15) (tstamp 2d41a74d-ed88-46a9-9cc8-99f9d45db2bf))
+    (fp_line (start -1.625 1.625) (end -1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 3d56546e-7803-49cd-8ad2-41f854ff5314))
+    (fp_line (start -1.625 -1.5) (end -2.7 -1.5) (layer "F.SilkS") (width 0.15) (tstamp 5de46c9b-dd5c-4604-b9c0-b3da6029a18c))
+    (fp_line (start -1.625 -1.625) (end 1.625 -1.625) (layer "F.SilkS") (width 0.15) (tstamp 9928b8ed-a98e-48a0-aa8e-f977e1448ba7))
+    (fp_line (start 1.625 -1.625) (end 1.625 -1.4) (layer "F.SilkS") (width 0.15) (tstamp b585205b-14f9-4dde-ae32-5a9de2c20717))
+    (fp_line (start -1.625 -1.625) (end -1.625 -1.5) (layer "F.SilkS") (width 0.15) (tstamp c243b63a-2bcd-41ac-a91b-6888ac8f6d46))
+    (fp_line (start -2.95 -1.8) (end 2.95 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 1bfb6f80-8099-408b-8f9b-5aa3fce08023))
+    (fp_line (start -2.95 1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 49ade5eb-f8ba-461a-8aa8-db5371de2a74))
+    (fp_line (start 2.95 -1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp c8471035-0c54-4549-b23e-96114f9acd20))
+    (fp_line (start -2.95 -1.8) (end -2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp d37b6e41-6be6-4e98-9aa6-47849d660aaa))
+    (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 5cc2ccec-7789-426c-8fe7-34f126fc8399))
+    (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 604c25b4-52df-4323-9bba-92ea7ce23f64))
+    (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15) (tstamp 84ade77b-c8da-4317-b4eb-3647226e3674))
+    (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp d964372e-643a-44df-8724-953fe3161018))
+    (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp f6a89471-d64e-4baa-8017-09aae3e96f9a))
+    (pad "1" smd rect (at -2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 32 "/~{TXD_TXEN}") (pintype "input") (tstamp fd263eb8-8520-4bc5-abd3-8c4d1f76fbb4))
+    (pad "2" smd rect (at -2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 23 "/UART_TX") (pintype "input") (tstamp ada8af7e-1f6b-427e-aae0-84b99e9c0c70))
+    (pad "3" smd rect (at -2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 22 "/UART_RX") (pintype "tri_state") (tstamp c7337090-c3e2-4255-836d-9d625a76fd45))
+    (pad "4" smd rect (at -2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp cd6cbf79-4e4d-4c3f-a9cf-2e72d73c523e))
+    (pad "5" smd rect (at 2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 25 "Net-(U1-Pad5)") (pintype "input") (tstamp aeffafa5-3f0a-4c2c-9fdd-c556be12ad94))
+    (pad "6" smd rect (at 2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 26 "Net-(U1-Pad6)") (pintype "tri_state") (tstamp 1e67c0e8-d4f6-487c-8cb8-e2dbca1f6a8c))
+    (pad "7" smd rect (at 2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 35 "/~{TXD_RXEN}") (pintype "input") (tstamp 95e8f13c-5279-46e9-b07b-646161dec4e8))
+    (pad "8" smd rect (at 2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp a636ff70-2679-4be4-b169-a78f12932b75))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_3x3mm_P0.65mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp b40f7450-509f-4df7-8afc-814724f6ca26)
+    (at 108.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/5ce7f881-e281-4738-b8ef-9071b387f31d")
+    (attr smd)
+    (fp_text reference "R14" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 78d8c157-ed31-4aca-8789-551c553fb357)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 04ef506d-118e-4865-9bec-9b92665195a2)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 7f2425d7-d435-48b5-a0e8-ccd0d6edc87c)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 90920e66-2b28-4dd3-866d-c5a96d81bdc2))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 95a37983-b1fd-46e0-a7dc-75f97e4dfdef))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2001e0f5-718f-4b24-9fb8-68cbf3565056))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 20c6dd5c-2887-4a9f-b896-5b56abda6d07))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp bb8025d3-ada8-4130-adad-ee33f313e20e))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e5a2d20b-27c4-421e-ae51-d1f4822da764))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5a512288-799a-447a-a087-323b83ff82c6))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp b41e649e-f913-4abd-9929-cc309b6e81ea))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp c9e9d41d-001c-4d1e-a6f5-5b2c98d646b6))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp de29ec65-4e94-47af-940f-fc50975386c2))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 51 "/PPS_DE") (pintype "passive") (tstamp db7118c4-eee5-4394-8338-c163cefe8a83))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 12520e14-d6a7-463f-8bfd-7beeba9625e8))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp b4850354-d1b9-4214-814c-bd02d0f5ba1c)
+    (at 120.75 90 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/c3a7e8e7-d23b-4d26-a771-2af366126261")
+    (attr smd)
+    (fp_text reference "U5" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 79632402-e157-4eef-9409-5d13ca985478)
+    )
+    (fp_text value "Si8400" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp b94b88ef-2068-461d-91d4-145d1e9c51d9)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp 0eeac394-37fa-43a6-b04d-fdccacc05b8e)
+    )
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 826ce1ea-adf2-44a7-8a9f-c22f9e553250))
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp a804259d-29b3-4402-a80a-942e6fa09032))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp d547be31-d5a0-49bb-bbf5-f2e13f5b9cac))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp f43b9522-d5fb-46fe-8803-8ca3e43018d2))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 1d6205eb-2333-4574-9a02-e0b73532d16d))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 2c026ada-67e9-4049-b821-5f10c31d0e23))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 9fb34d89-a252-42f7-848c-8058490f04fb))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp d25678f7-d73d-4245-94f0-0416bd0836f2))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 14a22f1f-409a-448a-87ed-a4491ede4ed9))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 2984b6c1-43ee-4668-96d4-cfaeaa7e6034))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 5f78b60d-fdba-46d7-a37e-1552033d7a88))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp aefb604c-0417-4526-8db8-8da56d3c13f8))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp d4ddcf42-ba51-4b89-ba80-cef73acf7409))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "VCC1") (pintype "power_in") (tstamp 76352a4a-6b7f-4761-bc36-4217d55bb4de))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 21 "/SDA") (pinfunction "SDA1") (pintype "bidirectional") (tstamp 855e1c7b-ea1f-445c-b5b9-e1247bf1bb12))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 19 "/SCL") (pinfunction "SCL1") (pintype "bidirectional") (tstamp 0ff3ba81-c0ff-4439-abc9-289f5ad8eac9))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "GND1") (pintype "power_in") (tstamp 4f2a400e-451a-47cd-af11-721a8c33c56f))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND2") (pintype "power_in") (tstamp 2fe393cd-2c7f-47e6-b5f2-8f96cd3626e5))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 52 "/SCL_ISO") (pinfunction "SCL2") (pintype "bidirectional") (tstamp e6b5b5e8-4d7a-48de-9321-26b2473d4693))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 53 "/SDA_ISO") (pinfunction "SDA2") (pintype "bidirectional") (tstamp 29d3e22e-28c0-4d7c-9d25-cc25122ae52f))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC2") (pintype "power_in") (tstamp 13c14aa5-8624-4c36-8741-bf30e41d33a0))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp b59d4670-e1bf-412b-ac63-8166f6fc08b1)
+    (at 118.25 85.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/9e0eab30-6499-4697-be3c-63ed32c79aae")
+    (attr smd)
+    (fp_text reference "C5" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2f3ed708-7210-44a5-b20c-eaf5cf9d3d20)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c922093e-98f6-4de6-84d0-deb59920f37b)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp cad44f75-b349-4de4-baa2-2c0b9d6b3d80)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2bb6ff1b-7b06-4bb4-ae31-c5e070d0c89f))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 92b7ff89-131c-4083-b514-7df87a455401))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 75986318-db18-4a88-b7e1-34816b1a3381))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8deda286-411f-4020-86a3-c45b7d7f606b))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc04d80a-f53b-46ea-8b3d-34b9656be593))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c0849964-90ec-4506-ab61-2c0f12aac897))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0a3ca732-61d9-4cfc-bcbc-9c9165cb4e4d))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a9c90287-27e9-4702-83ff-a2684fe502cc))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c6537734-a61c-434f-be0f-6efa78e20b7d))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d629be63-2d15-4db6-b377-631c297783c3))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 56daac9b-55ee-4837-832b-8110a9d3aa2f))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 105bf989-48d2-416f-a7d6-3ea7df19e848))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp ba44d10f-5b9d-4404-ba2b-07c514067790)
+    (at 107 86.5 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/d4d1351b-e262-4f90-bcb7-2efe4cec8bad")
+    (attr smd)
+    (fp_text reference "C9" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c037aee4-7e0b-4ca2-8496-17bd780b0fbe)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 9f80d5eb-f83c-428f-b391-86468f7d389d)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp e52204a1-4897-461e-81bf-a46311d3febe)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4f531136-37ee-44ee-8a70-0bba101c3deb))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b4764897-76e0-4031-bc48-8daeda2d0c68))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 05610fa2-b3ce-4b6d-ba5c-acffb540c43f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5a71de63-6ee2-4da7-a265-3f8bcac54929))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 81b0c900-e7b3-482f-a25d-b2a1f2ac41e9))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f292132f-d2df-44a0-9a1a-fb5377c5f6e1))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3252e264-364e-4149-8fdb-ba81916412b5))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 51ec7d81-7cf7-4e47-8a4e-3441e4568669))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d086dba4-2ac5-435d-9ea5-8cd5fea83294))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f276e7ec-e0af-41d7-9965-1773a636b8e0))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 638c49f8-73b5-4077-a917-f65008eda6f3))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 8f728e30-faf9-4285-9c1a-5197da19b6dc))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp bfebea18-8577-4342-a2ef-dd815112b05e)
+    (at 120.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f5169de3-691a-4f33-8a0c-fea8c980189d")
+    (attr smd)
+    (fp_text reference "R16" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp b67aacee-5dc5-42e6-9bf0-ef790d90bdc7)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1f684f0e-1f64-4d56-96bf-17684235ae7d)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 90b87a75-e694-4e72-9bab-3ec19112d41d)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 14efd80c-2364-4189-bc1e-dc9392973be6))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp f54884af-67e4-4dbe-95da-550305460acf))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 21b4cc6b-b4c3-4faf-97f3-3af5783f061a))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 27c159bf-e63a-4849-b694-c2eb6bc3ae9e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a77633d7-f807-4fb2-bbad-87270a64fa4c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e9c027e8-ce2d-4e53-a05d-773184b8f8b9))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 213bf068-d2b1-4957-95df-8002cc32e691))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 4133c9d0-1439-4f00-98bd-c8b737a49900))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp c2f45461-a28e-4911-979a-d726cb0bfa20))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ce3e8bc2-83d4-4eae-ba12-94d60e8f53e1))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 47 "/TXD_DE") (pintype "passive") (tstamp a6f04d03-5d93-4aca-a70c-26e683e579e6))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 69726e01-87d0-467e-ba2a-d3d04e232bb1))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp c2f8a8c6-ccaa-4d1b-92d4-549dbfdece67)
+    (at 106.25 98.25 -90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/b7269c93-b543-4757-ac4b-fdc20e982f00")
+    (attr smd)
+    (fp_text reference "R3" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c31f2dab-2ceb-46cc-bd24-2456b28a8788)
+    )
+    (fp_text value "120R" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 5163e220-9710-45c9-9418-b7ce5ca48635)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 870573cc-ee82-4c42-8254-5e9d98fc0bd7)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 729ef63e-1ff4-47c6-84de-3072301f903b))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp b80bfb06-7710-419f-997d-401aaa97a361))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0ad7797c-1b82-4022-8d02-1c87cb7393a2))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 19ce90ea-fe5f-4ddd-b9fb-badaa6176b2e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 324b0f73-b5aa-46b7-a7af-8edd115713f3))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 47a73fe3-260f-417f-99a1-1c30d4b85662))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 1a2a5e92-61ab-4e0c-8342-4bd321825751))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 31998064-e5f0-4875-846b-e796db21b63e))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 475c3397-52e5-40fe-97d9-c594698e28a9))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp d1b430cb-d4f4-4c54-974d-0913b44b8369))
+    (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 14 "/TXD-") (pintype "passive") (tstamp 540d9dcc-a202-40c4-9581-a253c3920786))
+    (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 17 "/TXD+") (pintype "passive") (tstamp 924f5e2d-657b-4fee-9d2f-c2121e929f6e))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp ca865fd3-17d7-45fa-8133-ab8aafe5104b)
+    (at 116.25 107 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/d46b1e87-7942-4b99-8d91-cf6c316df13f")
+    (attr smd)
+    (fp_text reference "C12" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 37aef122-259c-4fe4-a34f-75b1ba716bd2)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4008e868-491b-4313-9b63-b9c3c3dba082)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 3f2d89d8-71c2-4f01-8444-6c1a758b4ab8)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 45105559-2b1c-401d-90e9-92a94995c6e3))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 7e640284-984c-4339-a42e-da5c40fd02a3))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 04ed59be-df72-43ff-8c2e-969ab256ee6b))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6df91307-fd60-42b2-a7ad-2df1fb7d2983))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b104b269-34fd-4906-817d-0537c757d1b7))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f05e1ee5-4b11-4e2f-934b-abd37223e72f))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 05d38904-a777-4428-abc6-d53a7dc7b4f3))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 54fb9f18-f78f-4e35-8b40-31705d802ddd))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 92ffa51e-dda7-46c6-84bf-58675711f684))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp bb6b0e1d-6dfe-447f-861c-9f4433735188))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 55676d2f-c141-4d24-ac05-48e1df200b4c))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp ed3c14bf-9690-4cd7-892f-269d054182be))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp d0fedc42-a215-4f3d-903e-30fbc4566a04)
+    (at 118.25 94.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/b7cd067b-8ec2-49ed-a3a4-2b30c9f18990")
+    (attr smd)
+    (fp_text reference "C15" (at -0.225 -1.5) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2f325e36-a083-462d-a834-41a815be64c2)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 7d796a04-39f8-473e-85d3-ad32edca41be)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp fa71d7ca-8669-47b8-a691-7ed910bf6223)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 65d70690-770b-4e56-aae5-16adebcf401e))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp dd01b7d1-e810-4106-8bfc-abfcae8e227b))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9b66bd31-a6d6-4344-a9c0-c6ae726f9c71))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e27c4411-8db0-4ef5-bab0-f36753855b71))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fa2f7f16-c41e-4d85-9616-9665fca5956d))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp feabfb5b-ba67-4b12-9c70-2759189001a4))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 415e8cdf-71e7-49ec-9d07-83b9c0c4720b))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 6db69a25-6341-45b2-ba89-a7230648290c))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a670c482-0d7d-441b-9b7b-8291821bf148))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ed218e67-f040-43c3-990f-cb5be7ce7fe4))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 4b6615f4-ea9b-47d8-8eaa-3046bd560440))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp f48e8464-a319-47a5-abeb-d54f8b5dc56f))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp d695330b-81fa-4ebd-ac6c-bafad450889c)
+    (at 117.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/4b1cc371-f92b-48fe-b65f-234306fabc06")
+    (attr smd)
+    (fp_text reference "R12" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4fab45f9-481c-47c5-9043-13745df72132)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e01c77c5-7c4f-4764-83ee-0abf7dfbc657)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 0357cb09-cd92-4f36-a2d0-c47be7f94e19)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 47fb96c8-a1c9-406d-9405-4406429a4a7c))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 98fd91ad-a58d-4ae0-b932-b62563aec9f5))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 34bc7aa0-6103-48fb-8385-fdf840897b3b))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 64b7b34e-14aa-46ad-aa63-b68c5949b3a3))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8b890864-a591-4fe3-b3f4-6ee3cc03dfdf))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f1aef9bb-0b0e-4ee2-b139-00464d7821da))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 247efab5-7e79-4d70-a485-b3fe42a50f76))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ab0f4908-ddfc-4ad5-9ed3-eb62159f7c87))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp e226c252-c5df-407d-b32e-fb84e12db7ce))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ff4746dc-cc14-43e4-a132-d5f61a9cf79d))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 25e92886-9dd3-4715-82a9-cf9143898d29))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 44 "/~{RXD_RE}") (pintype "passive") (tstamp ba764641-afaf-495c-9b94-f78474cf51e6))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp dc1b3576-72df-437a-8c1d-e49ef8f9ff18)
+    (at 107 96 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/70cef4b1-374f-4188-a820-7b0fcd00b427")
+    (attr smd)
+    (fp_text reference "C8" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp ad778dac-0442-42ee-9ee2-eb699157e4a5)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e796e18c-007b-4cae-8d66-3312a7a58957)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp c9210e39-6c74-4ba5-8694-b8b0bcd4e9df)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 548d102b-bf9f-4d61-b75d-286f35bab708))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 75c93003-ca47-427e-ab1d-206c94a41821))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2306d64f-376d-4935-916c-cad0457ef739))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 43401aa9-edfe-4cf5-90a3-deaa90c66e69))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b33dd0cd-ffbc-48c7-a58b-032ad9a414ce))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b83cb6bb-87d2-4b93-9aaa-53332e6ad0fc))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1b2c723d-2dec-446d-be10-489da56f30c3))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 430bbc9d-bb67-4d34-b5b5-77b6469051d2))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp cc5405f8-353c-46a8-8429-e6054e23c2b8))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ef8be973-0beb-400a-99dc-6e9ad730ab26))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 3 "+12VA") (pintype "passive") (tstamp 352612cf-a038-4759-b0d9-8056e379ce87))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp e5738b75-3add-4542-b00b-6ecab20eeed0))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp dcc730e9-654b-47ce-aace-21bca9b473ce)
+    (at 123.75 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/5b234ca9-2d03-4a23-bde4-9e56846a4c88")
+    (attr smd)
+    (fp_text reference "R7" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 3a31bd28-8def-4d4b-80a5-e4b40c13c67e)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 846fd1b4-65ae-41ae-bd08-33f2f64629dc)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 88514ba3-3c33-4eef-8020-c02f58bae04f)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 0b3e297f-3527-4119-9fd2-00ea7f2a6844))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 408902ed-2b70-40eb-b4d4-ed3ef1660161))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0955a2d1-6d5c-41d0-bcb6-279692bc5a93))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2a4dbfdd-a019-4340-ae2c-52d02c91c798))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4df8937d-4f93-4056-a687-2e28f9a5904f))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c8d18c92-01ab-42b9-971a-7c7a757f3b63))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 5d61ba4b-a422-4197-beb3-1ede10f65cef))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 9527fd57-0e1e-43cb-add4-7aac7fae6d39))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp aeffa9ba-8a83-4232-8aa4-b947dbfef7ab))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp d049d564-4770-46e2-bf50-f64efd781ce9))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 88f1abea-90b6-4eb4-94c5-f5bf41181c6b))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 28 "/~{RXD_TXEN}") (pintype "passive") (tstamp 3aec06bc-00ed-4a9f-9b35-bc96799cb186))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp ed06a58a-6bb4-462e-bf44-ee6337332e6d)
+    (at 106.25 104.25 -90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/d72c9799-a3a8-4751-8eb1-6ad1db1ffa3c")
+    (attr smd)
+    (fp_text reference "R1" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp f8f46d23-df60-4876-a13c-667e518327c5)
+    )
+    (fp_text value "120R" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 7f2618fb-ea5d-4039-a6ee-0e2d8a5a11c0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 3601a6cc-a92b-4b35-8f54-766f003d9600)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp aa357df8-e874-4519-a377-a6958d7eff65))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp ca29b172-c9d2-4ea2-87e7-5d5f22c1606f))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 07657013-16ef-42bb-af5d-d93a007b227f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 266c7711-2775-4263-afc8-18d00d35132e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 781f58ec-71bb-46cd-b787-bea63edaf633))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7eda587b-66fd-4f15-a1fc-236196e24138))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 637f87db-7b8f-4f1b-9e69-38145325d607))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 661cf3a1-07f1-4505-8f64-0a46c109a06c))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 757314ca-9a94-4f9c-aee8-32cb62112ddf))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp f765a782-452d-4f98-bf38-06dc5e3b5609))
+    (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 6 "/PPS-") (pintype "passive") (tstamp f98e4f8c-6db8-45fc-86b6-9a74c62ef2cf))
+    (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 9 "/PPS+") (pintype "passive") (tstamp b2141e0f-3b61-49ae-bd3a-00eb61203a2b))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp f4b8e881-3655-476c-bfb9-00cddac672bb)
+    (at 122 95 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/38546697-4fdb-4498-8b17-5aa799e1c53e")
+    (attr smd)
+    (fp_text reference "C14" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 50ca026f-e53e-46d1-bde6-0366d3cc1276)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e636c5ab-ca5e-40df-bba5-7bbe52097c98)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 2ba40074-c30f-45a3-8f18-805c53814487)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 622f4225-66c7-4801-8885-cd99efb3f64a))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a4d12fb4-1bb3-47d2-88d4-7aa0b40df313))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 47629847-7484-4ec6-a8e1-ca61a83950f5))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7456635b-d143-4038-8c13-b6ab9f54e01a))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7c1f72d4-1fd3-4510-ab96-29d6c1eb2683))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9cdb3399-402a-43c6-8d69-a3178cc3cf2c))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4b51550e-2dff-4d54-a842-d2aa7eb4af66))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp bb97dd13-8c8f-403e-b3ac-97e00aef1098))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c68596de-63c3-4c7a-a5f0-caf9c0233a67))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp cc2884af-d340-403c-8d73-f8bf4c3dbd01))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 209310e0-0d31-44fb-953a-082fc82561e0))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 8e1a4a4c-ed63-41f2-9a8c-bfe6e077fc00))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp f9c8b756-78b1-4301-969c-7bfb3462e931)
+    (at 124.5 81.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/ea3da44b-64e4-4628-8c68-a47f3d2e8a9c")
+    (attr smd)
+    (fp_text reference "C2" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 6137ae8e-b6af-40af-928e-c507b0e221dd)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 258c7224-44f0-4797-af59-06d49e5e733a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 8f2f8543-7d1d-482e-9686-be54b130c1f3)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 1fbd2e37-dfa0-4601-bd78-b42832dd1344))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 4bddeb5c-78e7-40d6-a2c5-1a928aba828c))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2d0c8842-7639-42b4-a6fe-d2cb15773ea3))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 53e65e6c-0500-42cb-870d-c81a60fdb3e4))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 59ed0396-8d26-4acf-b8ac-7286270a424c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c99b21c6-e5bd-4f63-9aae-d01039467537))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2ef3c235-e1c9-404f-9f79-fdd4b17f1b0b))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8e471d32-4b72-42fc-99ba-1b935bd9b417))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a7c59294-b8f6-44de-9c21-d068440dfec5))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fbdce230-8504-4f90-bdd5-d514406039a9))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 92c6e612-3560-4a8f-aee6-06ef6c37e337))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 0e302447-21fa-4175-9148-9fe16a4b71a6))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu")
+    (tedit 5F68FEEE) (tstamp 2eaf3a5b-09a9-4bb4-900b-88fac1beb813)
+    (at 122.5 78.5 -90)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/c95580a6-a0d5-4f4b-a463-f12bb798ae9d")
+    (attr smd)
+    (fp_text reference "C6" (at 0 1.43 90) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 0aaf25aa-9beb-4d0a-8560-ac0c3c28e0e4)
+    )
+    (fp_text value "100n" (at 0 -1.43 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp e035cce5-e22a-4ad4-b9b6-1ad86f805b04)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+      (tstamp b5b84f8d-be4c-40df-8738-c5072a789e3c)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 1e0bff4c-9d9b-4e96-a6f1-56e93df78eaf))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 29883f64-dc9d-408d-8f15-b41fdda71a44))
+    (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5e6b2b8a-c221-4ad7-828b-e3d530006813))
+    (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7875da97-8330-40af-ad02-2e2b27c123e1))
+    (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp cba2dd1b-b394-49d1-9d5e-1edc55790a55))
+    (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f5ab1245-8a3f-47de-b039-804efa1b20d3))
+    (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 05d14806-d585-40f3-a6fc-cb19e3b4f4f0))
+    (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5b8c4906-6a3b-4c1b-b530-c26c726a2600))
+    (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 8c16c8b5-e983-415c-abfa-7b11abfd3a18))
+    (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp f27a0af6-0147-4934-8ef7-70d4e9e12f73))
+    (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp affbe35a-d1be-4c62-b6dc-36f352b18730))
+    (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 53f1c0ea-a3c3-4ffa-9e15-cb57bd6b5d0c))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (layer "B.Cu")
+    (tedit 5D9F72B1) (tstamp 38fd3618-343e-45b6-b446-de124ec812e0)
+    (at 116.25 83 90)
+    (descr "SOIC, 16 Pin (JEDEC MS-013AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/bf733eb6-de1f-497a-b175-cebba0a1b4fb")
+    (attr smd)
+    (fp_text reference "U6" (at 0 6.1 90) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 7f880471-4dfc-4511-aa48-d3f6762b05a0)
+    )
+    (fp_text value "TCA9534" (at 0 -6.1 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 76a1536e-6d31-4da4-8757-a7212a9bb788)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp cd1fe2a9-3b9c-49a2-a65f-9d16a852d0bd)
+    )
+    (fp_line (start 0 5.26) (end 3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp 0979830d-de9a-4fad-8d9f-c5712a0b243e))
+    (fp_line (start 0 -5.26) (end 3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp 2905fb8c-1ab9-4e32-a155-be828786718a))
+    (fp_line (start -3.86 5.005) (end -5.675 5.005) (layer "B.SilkS") (width 0.12) (tstamp 3c78e3ae-44b8-4174-be35-4ee283039e7e))
+    (fp_line (start 3.86 -5.26) (end 3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp 693fcd75-d57b-4681-b7c6-f167c3b60f9c))
+    (fp_line (start -3.86 -5.26) (end -3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp 6ffb3dc1-2f11-4ed8-8a34-0866df0c4b31))
+    (fp_line (start 0 5.26) (end -3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp 9df85ec0-3923-421e-8d32-4eea65bfbce0))
+    (fp_line (start 0 -5.26) (end -3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp b8abc4f9-5eae-45e0-8c19-48c8d4461113))
+    (fp_line (start -3.86 5.26) (end -3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp e42c6f75-a690-42b1-afcf-79f4fc6c76cc))
+    (fp_line (start 3.86 5.26) (end 3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp edb3a1bf-02d5-418f-8acc-767c8448a8d7))
+    (fp_line (start 5.93 5.4) (end -5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 4285f8c5-451d-4257-8cc3-55409b35278e))
+    (fp_line (start 5.93 -5.4) (end 5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 6ed6496f-b15f-42e7-b0a7-ee5fd047c499))
+    (fp_line (start -5.93 5.4) (end -5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp 70186af0-c5ff-4c4b-b216-390af88105d9))
+    (fp_line (start -5.93 -5.4) (end 5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp bbdd347e-cee5-41f4-a662-4300d01fc7e5))
+    (fp_line (start -2.75 5.15) (end 3.75 5.15) (layer "B.Fab") (width 0.1) (tstamp 23dd4143-1f79-4764-ab3b-4a4b6f3b5e68))
+    (fp_line (start -3.75 4.15) (end -2.75 5.15) (layer "B.Fab") (width 0.1) (tstamp 5034facc-3518-41b2-a149-3563e0746a63))
+    (fp_line (start 3.75 -5.15) (end -3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp 88beff70-0ca5-485b-91e4-fbe2215b2821))
+    (fp_line (start 3.75 5.15) (end 3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp 9aba815c-bf0c-42bd-98e9-ef3aaaa99f16))
+    (fp_line (start -3.75 -5.15) (end -3.75 4.15) (layer "B.Fab") (width 0.1) (tstamp bcb3abd9-0239-4bcb-9764-a491d8044abc))
+    (pad "1" smd roundrect (at -4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "A0") (pintype "input") (tstamp 19bf4e9b-ab0c-4aec-b137-73472f15d03d))
+    (pad "2" smd roundrect (at -4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "A1") (pintype "input") (tstamp b8ca7800-6eda-4f3d-80ad-90d86bda173d))
+    (pad "3" smd roundrect (at -4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "A2") (pintype "input") (tstamp 09b5a326-1131-45f4-8b92-2fa20d88d156))
+    (pad "4" smd roundrect (at -4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 35 "/~{TXD_RXEN}") (pinfunction "P0") (pintype "bidirectional") (tstamp 2a68b58e-8f43-48aa-8207-9a083b5f2471))
+    (pad "5" smd roundrect (at -4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 32 "/~{TXD_TXEN}") (pinfunction "P1") (pintype "bidirectional") (tstamp 40e00586-6b4a-4dc9-ae49-100570a186ef))
+    (pad "6" smd roundrect (at -4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 55 "unconnected-(U6-Pad6)") (pinfunction "P2") (pintype "bidirectional+no_connect") (tstamp 42f0559b-5907-485e-9f29-2af499e654e8))
+    (pad "7" smd roundrect (at -4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 54 "unconnected-(U6-Pad7)") (pinfunction "P3") (pintype "bidirectional+no_connect") (tstamp 3b172d7b-3245-4501-8a68-700a61ec035b))
+    (pad "8" smd roundrect (at -4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 643f5017-5a40-426a-83db-afa6b28c707d))
+    (pad "9" smd roundrect (at 4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 27 "/~{PPS_RXEN}") (pinfunction "P4") (pintype "bidirectional") (tstamp 6c0ba70d-d6c9-4351-bc73-caab1504e54a))
+    (pad "10" smd roundrect (at 4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 24 "/~{PPS_TXEN}") (pinfunction "P5") (pintype "bidirectional") (tstamp 8cedee34-4dbe-4a50-8de5-f6825e7fafdd))
+    (pad "11" smd roundrect (at 4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 31 "/~{RXD_RXEN}") (pinfunction "P6") (pintype "bidirectional") (tstamp 9476d592-0e85-4987-8e1a-bdb1c5152fd9))
+    (pad "12" smd roundrect (at 4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 28 "/~{RXD_TXEN}") (pinfunction "P7") (pintype "bidirectional") (tstamp a342a4ed-cc66-4f3b-87f3-360a88dcf51b))
+    (pad "13" smd roundrect (at 4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 42 "unconnected-(U6-Pad13)") (pinfunction "~{INT}") (pintype "open_collector+no_connect") (tstamp 27e88c17-10ed-48db-88a3-3cbfc40566db))
+    (pad "14" smd roundrect (at 4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 19 "/SCL") (pinfunction "SCL") (pintype "input") (tstamp b97e8b7b-ed15-4637-a28d-9878fab96d97))
+    (pad "15" smd roundrect (at 4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 21 "/SDA") (pinfunction "SDA") (pintype "bidirectional") (tstamp 15212c46-87f4-41f8-a062-5cfde1f0ffde))
+    (pad "16" smd roundrect (at 4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 9ce34594-a59d-4440-bb43-e157169269c5))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16W_7.5x10.3mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" locked (layer "B.Cu")
+    (tedit 5A19A422) (tstamp bd98751e-7c4a-4f8e-b00f-1df905526c13)
+    (at 131.77 103.8)
+    (descr "Through hole straight socket strip, 2x04, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated")
+    (tags "Through hole socket strip THT 2x04 2.54mm double row")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/30e215e6-8ba1-4d4e-a4f7-4ddb4064f495")
+    (attr through_hole)
+    (fp_text reference "J1" (at -1.27 2.77) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp fb0f16a8-25c2-4321-87b1-c53f3b5cd0fb)
+    )
+    (fp_text value "EXT_IN" (at -1.27 -10.39) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp b553b100-da8b-478d-b5bd-a2090e718a78)
+    )
+    (fp_text user "${REFERENCE}" (at -1.27 -3.81 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 24102460-b7c6-45c1-9b85-db8747013814)
+    )
+    (fp_line (start 1.33 -1.27) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 31080963-b855-48d5-a5be-58f9a71ba7fc))
+    (fp_line (start -3.87 -8.95) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 4cf91ac5-6693-4f6c-8505-6c9506c7c627))
+    (fp_line (start -1.27 1.33) (end -1.27 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 5b3b9c3a-c096-49b0-96be-86fd5bbd62f4))
+    (fp_line (start -3.87 1.33) (end -3.87 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 869ee134-0328-430b-a578-10986127a648))
+    (fp_line (start -3.87 1.33) (end -1.27 1.33) (layer "B.SilkS") (width 0.12) (tstamp 8b438d83-1b59-4809-b5e3-da1ff8151a12))
+    (fp_line (start 1.33 1.33) (end 1.33 0) (layer "B.SilkS") (width 0.12) (tstamp 99d123b1-49ef-4a42-bda7-01270ee8d86c))
+    (fp_line (start 0 1.33) (end 1.33 1.33) (layer "B.SilkS") (width 0.12) (tstamp 9c6a7d84-7504-48ef-8910-e678dfd67afc))
+    (fp_line (start -1.27 -1.27) (end 1.33 -1.27) (layer "B.SilkS") (width 0.12) (tstamp b1241beb-c3d4-48ad-bfd3-be24ebf70c6f))
+    (fp_line (start -4.34 1.8) (end 1.76 1.8) (layer "B.CrtYd") (width 0.05) (tstamp 5302ed6d-0463-43cb-b0b7-f0c862a95720))
+    (fp_line (start 1.76 1.8) (end 1.76 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp 583b5296-f4e5-4691-b8eb-9c4b74c0f547))
+    (fp_line (start 1.76 -9.4) (end -4.34 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp c127b979-ff17-43c6-82d3-d9c6d272a96e))
+    (fp_line (start -4.34 -9.4) (end -4.34 1.8) (layer "B.CrtYd") (width 0.05) (tstamp e3dab637-9c64-43c5-aec9-876a10d19e84))
+    (fp_line (start 1.27 0.27) (end 1.27 -8.89) (layer "B.Fab") (width 0.1) (tstamp 16986663-fa7f-44b3-8760-e33141cfd962))
+    (fp_line (start -3.81 1.27) (end 0.27 1.27) (layer "B.Fab") (width 0.1) (tstamp 18473cf7-df7d-4cb4-88c2-8d0fded7c34b))
+    (fp_line (start 0.27 1.27) (end 1.27 0.27) (layer "B.Fab") (width 0.1) (tstamp 37b76d16-9003-419f-9e78-da4953135575))
+    (fp_line (start -3.81 -8.89) (end -3.81 1.27) (layer "B.Fab") (width 0.1) (tstamp e1afa81e-a088-474a-97b0-386b2c0d70e7))
+    (fp_line (start 1.27 -8.89) (end -3.81 -8.89) (layer "B.Fab") (width 0.1) (tstamp f0d2162f-8ebc-4204-9012-560d2499247e))
+    (pad "1" thru_hole rect locked (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 2 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp e76ecf6f-dba3-4f3a-b2f3-e69d6477181d))
+    (pad "2" thru_hole oval locked (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 18 "unconnected-(J1-Pad2)") (pinfunction "Pin_2") (pintype "passive+no_connect") (tstamp 1e3b32ce-d7d4-42b5-bb69-dbeb2b8a0495))
+    (pad "3" thru_hole oval locked (at 0 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 19 "/SCL") (pinfunction "Pin_3") (pintype "passive") (tstamp a26f02a1-582b-4b29-b9a7-d4bf91576564))
+    (pad "4" thru_hole oval locked (at -2.54 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 20 "/PPS_IO") (pinfunction "Pin_4") (pintype "passive") (tstamp a1802b02-0e57-4250-b19a-6619aa5f28e0))
+    (pad "5" thru_hole oval locked (at 0 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 21 "/SDA") (pinfunction "Pin_5") (pintype "passive") (tstamp ad75840b-b904-4dda-b7a7-0ce6a8ad8258))
+    (pad "6" thru_hole oval locked (at -2.54 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 23 "/UART_TX") (pinfunction "Pin_6") (pintype "passive") (tstamp 30b9e004-06a2-4361-bb01-e8503f0e6d5d))
+    (pad "7" thru_hole oval locked (at 0 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 1 "+3V3") (pinfunction "Pin_7") (pintype "passive") (tstamp 84e5a5ea-30b0-4185-8de6-33f0a318d36c))
+    (pad "8" thru_hole oval locked (at -2.54 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 22 "/UART_RX") (pinfunction "Pin_8") (pintype "passive") (tstamp 755dd900-51c6-40d4-b55b-93c4f898e401))
+    (model "${KICAD6_3DMODEL_DIR}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x04_P2.54mm_Vertical.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu")
+    (tedit 5F68FEEE) (tstamp cc54e68f-987b-4052-9ed1-770ac4199a0a)
+    (at 123.25 92.75)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f2fc371f-e5a1-47d5-a802-b54c14e93663")
+    (attr smd)
+    (fp_text reference "C16" (at 0 1.43) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 3220cefb-014f-4fe9-a4cf-da9a44af58e2)
+    )
+    (fp_text value "100n" (at 0 -1.43) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 20d1ae29-b77d-430b-955d-d9dfc9cf6a83)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+      (tstamp 2d220854-b98d-455e-beb3-cb70d0b3e416)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 6971631b-b3de-461f-9cdd-3e93a31dcd2c))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp adaf05b7-68f2-4660-ae19-ed133fc269ce))
+    (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 187aab6b-146d-42fb-ab92-0eecc786a2b4))
+    (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 43ab600b-ad88-41e4-a014-b18af6ddf96d))
+    (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9bef324d-0d42-4d9c-b1b9-a538621596e6))
+    (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d590f276-fe91-4511-8ced-c7d0416a1d1e))
+    (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 82c91c6f-e0e2-44ef-9861-34c8ec7bd5ed))
+    (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 931a0539-301b-4c92-9a97-400a7d1e0347))
+    (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b5d72ed1-61d7-4f5b-a8a8-d1d714d14f00))
+    (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp d32160b4-0843-44fd-926c-b5621ad35369))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp d23bd2b8-a614-4e1a-bd43-a5d7404215bb))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp b8e9655a-fd27-4bb1-b2bb-87927a904eaf))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" locked (layer "B.Cu")
+    (tedit 5A19A422) (tstamp cee91783-06f7-427a-8479-598a6367ac7c)
+    (at 101.29 103.8)
+    (descr "Through hole straight socket strip, 2x04, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated")
+    (tags "Through hole socket strip THT 2x04 2.54mm double row")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f59ee99e-0d59-4645-9027-6900c1022f95")
+    (attr through_hole)
+    (fp_text reference "J2" (at -1.27 2.77) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 1b5261a8-be28-4144-a35c-a00bfab95aa2)
+    )
+    (fp_text value "EXT_OUT" (at -1.27 -10.39) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 056f25db-54d2-4888-b058-ea7b4b37f44a)
+    )
+    (fp_text user "${REFERENCE}" (at -1.27 -3.81 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 2f376255-13ec-4c6d-afc1-3ed533c7cc41)
+    )
+    (fp_line (start -3.87 1.33) (end -3.87 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 54077fd9-afd6-4b53-ba23-8968016075bb))
+    (fp_line (start -1.27 1.33) (end -1.27 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 90587b02-9f9d-4362-b14f-614d0c56271b))
+    (fp_line (start -1.27 -1.27) (end 1.33 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 9bc1cded-2435-40cd-bf1f-1b9b1258aa66))
+    (fp_line (start -3.87 1.33) (end -1.27 1.33) (layer "B.SilkS") (width 0.12) (tstamp 9fe94f7a-05db-4d72-b940-3a311e9a4dc4))
+    (fp_line (start 1.33 -1.27) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp a2910e04-5e53-4809-82ef-beb68dd3c947))
+    (fp_line (start -3.87 -8.95) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp cc24639b-2387-47ca-99a9-a8084db79346))
+    (fp_line (start 1.33 1.33) (end 1.33 0) (layer "B.SilkS") (width 0.12) (tstamp cc7de38f-2949-4609-bd75-b8e4d1d66dda))
+    (fp_line (start 0 1.33) (end 1.33 1.33) (layer "B.SilkS") (width 0.12) (tstamp f8053c23-b45b-4dd2-ba9a-bf6c02c43233))
+    (fp_line (start 1.76 -9.4) (end -4.34 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp 17d22300-c6d7-461f-aeb1-2a6d0c6c5e01))
+    (fp_line (start -4.34 -9.4) (end -4.34 1.8) (layer "B.CrtYd") (width 0.05) (tstamp 72f5cd15-517f-4766-bbb6-604a0cd1c53a))
+    (fp_line (start -4.34 1.8) (end 1.76 1.8) (layer "B.CrtYd") (width 0.05) (tstamp b9ebf877-78d8-4104-b355-ccecc2c83542))
+    (fp_line (start 1.76 1.8) (end 1.76 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp d758f154-0a9b-4564-a53a-aaf0eb02c3fc))
+    (fp_line (start 0.27 1.27) (end 1.27 0.27) (layer "B.Fab") (width 0.1) (tstamp 0cb5b15d-612c-4456-b90a-debf88a43cfd))
+    (fp_line (start 1.27 -8.89) (end -3.81 -8.89) (layer "B.Fab") (width 0.1) (tstamp 517d8c69-d8c6-4e9a-8a56-0602199a7b09))
+    (fp_line (start 1.27 0.27) (end 1.27 -8.89) (layer "B.Fab") (width 0.1) (tstamp a52c77b7-794c-4110-8e26-538b6cee7e06))
+    (fp_line (start -3.81 1.27) (end 0.27 1.27) (layer "B.Fab") (width 0.1) (tstamp b618fec3-cc98-4a9e-8924-888e2f8c02f2))
+    (fp_line (start -3.81 -8.89) (end -3.81 1.27) (layer "B.Fab") (width 0.1) (tstamp e28cbf22-5710-443b-97ab-e217a61f55d9))
+    (pad "1" thru_hole rect locked (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 7 "Net-(F1-Pad2)") (pinfunction "Pin_1") (pintype "passive") (tstamp 844e90d5-6188-41c2-b4ce-2ab247505037))
+    (pad "2" thru_hole oval locked (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 8 "Net-(F2-Pad1)") (pinfunction "Pin_2") (pintype "passive") (tstamp 2b05efd3-f61c-4d66-932a-f6ec582507d4))
+    (pad "3" thru_hole oval locked (at 0 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 11 "Net-(F3-Pad2)") (pinfunction "Pin_3") (pintype "passive") (tstamp 1779434c-282d-4eaf-b852-3cd34ced0737))
+    (pad "4" thru_hole oval locked (at -2.54 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 12 "Net-(F4-Pad1)") (pinfunction "Pin_4") (pintype "passive") (tstamp 05aa585c-b648-4ca9-a89f-ac6c8a4890b6))
+    (pad "5" thru_hole oval locked (at 0 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 15 "Net-(F5-Pad2)") (pinfunction "Pin_5") (pintype "passive") (tstamp 6bbe4d52-8b00-40a7-8e2b-2fc42030a13e))
+    (pad "6" thru_hole oval locked (at -2.54 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 16 "Net-(F6-Pad1)") (pinfunction "Pin_6") (pintype "passive") (tstamp c42ee3ce-a50b-4b67-aa71-3b76d6f952ad))
+    (pad "7" thru_hole oval locked (at 0 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 3 "+12VA") (pinfunction "Pin_7") (pintype "passive") (tstamp 2f0aa3c0-f278-41f5-ad27-87a8ecaab671))
+    (pad "8" thru_hole oval locked (at -2.54 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 4 "GNDA") (pinfunction "Pin_8") (pintype "passive") (tstamp d4eee749-0531-4ed1-baac-f712b7278b3a))
+    (model "${KICAD6_3DMODEL_DIR}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x04_P2.54mm_Vertical.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (layer "B.Cu")
+    (tedit 5D9F72B1) (tstamp d7f9a847-b8e9-478b-9bec-3964d9eb41ce)
+    (at 116.25 97 90)
+    (descr "SOIC, 16 Pin (JEDEC MS-013AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/38908880-dacf-469d-843a-1aa389d299dd")
+    (attr smd)
+    (fp_text reference "U7" (at 0 6.1 90) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp b056f723-d4b0-4b58-aee7-f91b5e1b1157)
+    )
+    (fp_text value "TCA9534" (at 0 -6.1 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 96d0694f-a49d-4119-92d8-80db9729a42e)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 61b957da-442d-4739-ac99-fff994b5b289)
+    )
+    (fp_line (start 3.86 -5.26) (end 3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp 5c89f365-4524-4907-b7b6-a9d5f359eaaa))
+    (fp_line (start 0 -5.26) (end -3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp a9f009f5-2776-4c95-a3fa-a99b1143bfcc))
+    (fp_line (start 0 5.26) (end 3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp af9c731f-fd89-41fd-b6d9-02cd14161823))
+    (fp_line (start 0 5.26) (end -3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp bd905341-e4a4-4b92-8741-aeb5a63a0962))
+    (fp_line (start -3.86 -5.26) (end -3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp bdfa2a1c-06a6-4c3b-aef0-1bbb830308a6))
+    (fp_line (start -3.86 5.26) (end -3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp c0fa3f69-18a0-4039-b403-754bd11f0579))
+    (fp_line (start 3.86 5.26) (end 3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp c67cb9b8-3394-49bd-82c1-b10209bcf4c8))
+    (fp_line (start 0 -5.26) (end 3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp de81feda-4e5b-444c-bc78-abaaf5e91223))
+    (fp_line (start -3.86 5.005) (end -5.675 5.005) (layer "B.SilkS") (width 0.12) (tstamp ff07e8e7-4e6f-49d0-b63b-6a24c1c5b7e8))
+    (fp_line (start -5.93 -5.4) (end 5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp 27c42099-0317-4345-98fd-58d5573509c9))
+    (fp_line (start 5.93 -5.4) (end 5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 42707c59-dd4c-4c49-bdfd-bcfdbfc641c7))
+    (fp_line (start 5.93 5.4) (end -5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 4adb8ebd-1f79-4b2b-a010-23ceee34378f))
+    (fp_line (start -5.93 5.4) (end -5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp cb45a2d7-0f66-4317-a8be-e734daeef1cb))
+    (fp_line (start -3.75 -5.15) (end -3.75 4.15) (layer "B.Fab") (width 0.1) (tstamp 27808f47-2701-4ad2-be13-75da9816d1cb))
+    (fp_line (start -2.75 5.15) (end 3.75 5.15) (layer "B.Fab") (width 0.1) (tstamp 432bd9c0-5911-4581-9115-816d6201bdcd))
+    (fp_line (start 3.75 5.15) (end 3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp 5f70b83d-b94a-44bd-99a2-c2396375a4ce))
+    (fp_line (start 3.75 -5.15) (end -3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp aa9a7955-6f79-4ab6-956c-0ea64130df2f))
+    (fp_line (start -3.75 4.15) (end -2.75 5.15) (layer "B.Fab") (width 0.1) (tstamp f375a2a1-87d7-4e83-ad5d-2fd7db7f2bd5))
+    (pad "1" smd roundrect (at -4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "A0") (pintype "input") (tstamp c37de346-4244-4c8a-9b3b-8db83c2ab3a0))
+    (pad "2" smd roundrect (at -4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "A1") (pintype "input") (tstamp 97502512-4d89-477b-8ec7-87a105c41ea6))
+    (pad "3" smd roundrect (at -4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "A2") (pintype "input") (tstamp 8c82f3a8-4b8a-4be2-a405-723ab07f5a91))
+    (pad "4" smd roundrect (at -4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 46 "/~{TXD_RE}") (pinfunction "P0") (pintype "bidirectional") (tstamp 51d91408-5496-4498-a302-7a17e488b702))
+    (pad "5" smd roundrect (at -4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 47 "/TXD_DE") (pinfunction "P1") (pintype "bidirectional") (tstamp e65f3f49-00b8-479e-b4ab-d3cfd0590e3f))
+    (pad "6" smd roundrect (at -4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 44 "/~{RXD_RE}") (pinfunction "P2") (pintype "bidirectional") (tstamp 5665358d-2853-4155-8baa-eb2cc1c6b4f0))
+    (pad "7" smd roundrect (at -4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 45 "/RXD_DE") (pinfunction "P3") (pintype "bidirectional") (tstamp 3f14fcc5-2003-49af-9ae0-8d01531b7522))
+    (pad "8" smd roundrect (at -4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp 64141e08-3889-4545-abae-5e341216b94c))
+    (pad "9" smd roundrect (at 4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 51 "/PPS_DE") (pinfunction "P4") (pintype "bidirectional") (tstamp d6ad5e7b-b609-47f5-a8ff-47d91c7224c0))
+    (pad "10" smd roundrect (at 4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 43 "/~{PPS_RE}") (pinfunction "P5") (pintype "bidirectional") (tstamp 95d582ee-c320-4fa2-9cbc-696cb7995fca))
+    (pad "11" smd roundrect (at 4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 48 "unconnected-(U7-Pad11)") (pinfunction "P6") (pintype "bidirectional+no_connect") (tstamp 95cf03b1-16c5-4161-ab0e-5ce9ee82b5a5))
+    (pad "12" smd roundrect (at 4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 49 "unconnected-(U7-Pad12)") (pinfunction "P7") (pintype "bidirectional+no_connect") (tstamp 5116e592-84e3-42de-8456-0167083d6be4))
+    (pad "13" smd roundrect (at 4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 50 "unconnected-(U7-Pad13)") (pinfunction "~{INT}") (pintype "open_collector+no_connect") (tstamp 19ec8779-7fcd-4358-b421-b2cc0c475ad6))
+    (pad "14" smd roundrect (at 4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 52 "/SCL_ISO") (pinfunction "SCL") (pintype "input") (tstamp 8fe33273-9712-43ac-b3c0-63af62f7bff5))
+    (pad "15" smd roundrect (at 4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 53 "/SDA_ISO") (pinfunction "SDA") (pintype "bidirectional") (tstamp 6b31ea82-14d9-48c7-b84d-1d639cd12fb6))
+    (pad "16" smd roundrect (at 4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VDD") (pintype "power_in") (tstamp b202e4f0-9bd8-4610-8675-fba4a5b8c8df))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16W_7.5x10.3mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (gr_line (start 126.25 95.25) (end 125.5 94.5) (layer "F.SilkS") (width 0.5) (tstamp 033fbfba-0ffd-4a39-82c3-edd66537f4b2))
+  (gr_line (start 111 85.75) (end 110.5 85.25) (layer "F.SilkS") (width 0.5) (tstamp 248e71ea-7b98-46a9-ab0a-f24e6a81167e))
+  (gr_line (start 126.25 107.75) (end 126.25 95.25) (layer "F.SilkS") (width 0.5) (tstamp 735605a3-014f-4b2c-a7f1-60d94b7cd424))
+  (gr_line (start 124.75 90) (end 111 90) (layer "F.SilkS") (width 0.5) (tstamp 76cff5db-d7a9-458e-b61e-f684807a6b7a))
+  (gr_line (start 125.5 90.75) (end 124.75 90) (layer "F.SilkS") (width 0.5) (tstamp 7eb5dad8-44d0-4436-8cef-54a06833d708))
+  (gr_line (start 111 90) (end 111 85.75) (layer "F.SilkS") (width 0.5) (tstamp 91d6c719-b25b-4127-9179-1bb159657afa))
+  (gr_line (start 125.5 94.5) (end 125.5 90.75) (layer "F.SilkS") (width 0.5) (tstamp f3d04cd3-7169-46cb-9317-7f55b5eaaf20))
+  (gr_line (start 97.5 108) (end 133 108) (layer "Edge.Cuts") (width 0.1) (tstamp 0d083707-8512-4da2-a292-10ef314ade08))
+  (gr_arc (start 110.75 84.5) (mid 110.457107 85.207107) (end 109.75 85.5) (layer "Edge.Cuts") (width 0.1) (tstamp 23464ec9-515c-45c6-884f-066f66b749f4))
+  (gr_arc (start 105 86.5) (mid 104.707107 87.207107) (end 104 87.5) (layer "Edge.Cuts") (width 0.1) (tstamp 36f7a3dd-c979-45c5-9ddf-fb36728935f7))
+  (gr_line (start 110.75 84.5) (end 110.75 76.5) (layer "Edge.Cuts") (width 0.1) (tstamp 3cc4c6dc-c984-489a-9f9c-c1ac17a03e34))
+  (gr_line (start 97.5 87.5) (end 104 87.5) (layer "Edge.Cuts") (width 0.1) (tstamp 5c02a6b9-63a1-4b4c-9034-fa447e4a7c0b))
+  (gr_arc (start 96.5 88.5) (mid 96.792893 87.792893) (end 97.5 87.5) (layer "Edge.Cuts") (width 0.1) (tstamp 67de91e7-3618-4a9d-952b-0583e0464307))
+  (gr_line (start 133 75.5) (end 111.75 75.5) (layer "Edge.Cuts") (width 0.1) (tstamp 93bf239c-de52-4ed0-bd71-b4cc6b1e6818))
+  (gr_line (start 134 107) (end 134 76.5) (layer "Edge.Cuts") (width 0.1) (tstamp 94f21563-47ef-4dbf-9b69-8325f1f28d3a))
+  (gr_line (start 106 85.5) (end 109.75 85.5) (layer "Edge.Cuts") (width 0.1) (tstamp aa3d5ccb-b6ad-4844-a479-3001a30653eb))
+  (gr_arc (start 105 86.5) (mid 105.292893 85.792893) (end 106 85.5) (layer "Edge.Cuts") (width 0.1) (tstamp b07f2550-c73d-43f3-be86-7285ac29085d))
+  (gr_arc (start 110.75 76.5) (mid 111.042893 75.792893) (end 111.75 75.5) (layer "Edge.Cuts") (width 0.1) (tstamp b0eff3ec-ec4d-42d0-8227-0d5a307a43da))
+  (gr_arc (start 134 107) (mid 133.707107 107.707107) (end 133 108) (layer "Edge.Cuts") (width 0.1) (tstamp b6f75321-1ba2-429b-80d3-016b6cf97049))
+  (gr_arc (start 97.5 108) (mid 96.792893 107.707107) (end 96.5 107) (layer "Edge.Cuts") (width 0.1) (tstamp baa4efd3-25b5-48b7-8fae-86e645808cba))
+  (gr_arc (start 133 75.5) (mid 133.707107 75.792893) (end 134 76.5) (layer "Edge.Cuts") (width 0.1) (tstamp ebeb7bb6-6c64-4331-b394-cb8ad3b6d1c2))
+  (gr_line (start 96.5 88.5) (end 96.5 107) (layer "Edge.Cuts") (width 0.1) (tstamp f5f09b0b-d367-4a1c-a33d-2f65add7dc7d))
+
+  (segment (start 117.2225 87.4) (end 117.2225 86.5275) (width 0.5) (layer "F.Cu") (net 1) (tstamp 05ec8c96-ca5b-451c-8ade-eb385a8ba5b2))
+  (segment (start 117.2225 86.5275) (end 117.5 86.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0e041f17-b4a8-4717-a542-103c4766affd))
+  (segment (start 117.475 85.5) (end 117.475 85.275) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1e5ea7db-988c-43bc-a229-ae3a39e53fc3))
+  (segment (start 125.25 80.075) (end 123.825 80.075) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1eef3800-23de-4f5c-a74a-a7e168b4d98e))
+  (segment (start 115.1 82.15) (end 115.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2982e241-62ec-4cf3-b430-21765e147cab))
+  (segment (start 116.75 80.075) (end 116.75 81.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2b5354d0-e92b-43c9-8854-8f2ecd28808b))
+  (segment (start 123.725 81.5) (end 122.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 33824e20-908f-4940-a228-543a39471fdf))
+  (segment (start 117.475 85.5) (end 117.475 86.225) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3bd41dd8-9ed1-4185-aff3-2fcc217b1a97))
+  (segment (start 116.825 80.075) (end 116.75 80) (width 0.2) (layer "F.Cu") (net 1) (tstamp 3eb44b9e-ebd5-420c-b58c-d726c0753114))
+  (segment (start 123.75 80.075) (end 123.75 81.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 484e0104-9f05-4c44-91d7-f1261cf16924))
+  (segment (start 116.725 81.5) (end 115.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4d3a23d4-d9ec-4d04-b8c7-66d7fe5e5356))
+  (segment (start 132.25 80.075) (end 130.825 80.075) (width 0.2) (layer "F.Cu") (net 1) (tstamp 58a95992-81ea-48c2-9adf-2f4c01e4c3be))
+  (segment (start 122.775 85.975) (end 122.75 86) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5b09a48f-0c9c-4d51-991c-d4eeb77a5afe))
+  (segment (start 122.655 87.525) (end 122.655 86.095) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5e140718-540d-4462-9b04-e0057481ecfd))
+  (segment (start 130.725 81.5) (end 129.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6439a554-16df-49c1-88ac-be8175c7e389))
+  (segment (start 130.75 80.075) (end 130.75 81.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 678213b4-b5b3-4226-aa15-405e860252fa))
+  (segment (start 122.1 82.15) (end 122.625 81.625) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6ee795d2-c1be-4af0-a74a-70ace16dd04c))
+  (segment (start 128.975 82.15) (end 129.1 82.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7e37d0eb-63c3-42f8-9f5d-3994d0e64f4a))
+  (segment (start 117.475 85.275) (end 118 84.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 87e2a2d4-27c8-4d82-94e7-b35fed47b68f))
+  (segment (start 130.825 80.075) (end 130.75 80) (width 0.2) (layer "F.Cu") (net 1) (tstamp 8bfa298c-cf1c-4e79-98c9-11c3f0f858e3))
+  (segment (start 121.975 82.15) (end 122.1 82.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8e967b1a-92cd-45b1-8d7e-59772b0f0acb))
+  (segment (start 122.655 86.095) (end 122.75 86) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9e118470-4ba0-410f-aca3-f91da1336d3e))
+  (segment (start 122.625 81.625) (end 122.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp a14d9d7c-ff70-4bb9-82b1-9548157ae49c))
+  (segment (start 122.775 85) (end 122.775 85.975) (width 0.5) (layer "F.Cu") (net 1) (tstamp a4fffd9a-1c7b-48f3-b2bd-6b61a7c4ad81))
+  (segment (start 123.825 80.075) (end 123.75 80) (width 0.2) (layer "F.Cu") (net 1) (tstamp b55f2809-29e2-4271-a903-97c1a42d39e4))
+  (segment (start 118.25 80.075) (end 116.825 80.075) (width 0.2) (layer "F.Cu") (net 1) (tstamp b7cfa94c-97df-4fe0-acab-e1f732840cc6))
+  (segment (start 132.475 88.095) (end 131 88.095) (width 0.5) (layer "F.Cu") (net 1) (tstamp c9fba59c-0797-4159-b88f-e21f1bc3254d))
+  (segment (start 114.975 82.15) (end 115.1 82.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp cddad5eb-4b9a-4025-83b3-680bd16d100d))
+  (segment (start 117.475 86.225) (end 117.5 86.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp f54ea852-00c3-4b2d-b599-b80567edbc3d))
+  (segment (start 129.1 82.15) (end 129.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp fcfb411e-b066-4caa-aee0-af071d12385b))
+  (via (at 129.75 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 03508329-8b9a-4921-84b6-ec7f4f1562aa))
+  (via (at 131 88.095) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 4742447f-c4fb-4697-a150-8bfe62991dcd))
+  (via (at 120 84.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 816fca37-f6b7-4e51-83a6-2d4531cfb64c))
+  (via (at 118 84.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 882f551f-237b-4d08-90f0-fd249aa27601))
+  (via (at 122.75 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 9a6a473f-018e-46c0-9e88-f2681eb36070))
+  (via (at 115.75 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 9a7afef1-57b0-447f-816f-df29a1be26c3))
+  (via (at 120.695 79.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp bb2769c6-9f54-4a6b-99a9-bb41a6d6d3cf))
+  (via (at 122.75 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp bbf57be6-176f-432d-806c-540a678cff1e))
+  (segment (start 120.695 78.35) (end 120.695 79.75) (width 0.5) (layer "B.Cu") (net 1) (tstamp 36604703-d87c-4169-ae31-54931766934b))
+  (segment (start 120.775 77.725) (end 120.75 77.75) (width 0.5) (layer "B.Cu") (net 1) (tstamp 428056b7-6883-47e0-996e-07849d3dd752))
+  (segment (start 119.5 85.25) (end 120 84.75) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6f2504c4-47f4-4fe9-949d-399421c75232))
+  (segment (start 120.695 87.65) (end 120.695 87.195) (width 0.2) (layer "B.Cu") (net 1) (tstamp 78502083-e6a7-4843-9067-8c2e11e42a08))
+  (segment (start 119.5 86) (end 119.5 85.25) (width 0.2) (layer "B.Cu") (net 1) (tstamp 8391b461-cd7a-41c4-b40f-bbaa38c076f4))
+  (segment (start 122.5 77.725) (end 120.775 77.725) (width 0.5) (layer "B.Cu") (net 1) (tstamp ad0c3375-c178-4dc2-9a2b-69aaa532ce01))
+  (segment (start 120.695 87.195) (end 119.5 86) (width 0.2) (layer "B.Cu") (net 1) (tstamp b6a04481-31e4-4a07-9630-60a503ba05c9))
+  (segment (start 119.025 85.5) (end 119.025 86.225) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1354f299-c5a4-41b0-9664-64fb10a65f01))
+  (segment (start 120.025 77.85) (end 120.025 76.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp 16d8cac9-51b0-4957-9060-0b65ac79c181))
+  (segment (start 125.275 81.5) (end 126 81.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 38719a21-827e-4ded-9b0c-0b0101b0b187))
+  (segment (start 118.275 81.5) (end 119 81.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 40ee3d89-8dcc-4516-bfe6-174da046c59f))
+  (segment (start 127.525 88.095) (end 129 88.095) (width 0.5) (layer "F.Cu") (net 2) (tstamp 431ad643-bff3-4dff-97e5-48074a23079b))
+  (segment (start 121.225 85) (end 121.225 84.525) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6ea95377-3f37-4997-a420-04ef6e18075e))
+  (segment (start 132.275 81.5) (end 133 81.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 94d33b43-0399-43e0-bb23-db5e2965dd8a))
+  (segment (start 119.025 86.225) (end 118.845 86.405) (width 0.5) (layer "F.Cu") (net 2) (tstamp 96c9f851-2518-4cde-b6fb-cfc90510c101))
+  (segment (start 119.025 84.775) (end 119 84.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9b00b1d0-c1d1-4e9b-be27-5a361480dcea))
+  (segment (start 127.525 89.365) (end 129 89.365) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9c7f6eb0-efa8-4e45-8424-7b92d4f74bc4))
+  (segment (start 132.475 89.365) (end 131 89.365) (width 0.5) (layer "F.Cu") (net 2) (tstamp a5fb2400-35b0-40ca-ab98-65f9ddff5a8f))
+  (segment (start 119.025 85.5) (end 119.025 84.775) (width 0.5) (layer "F.Cu") (net 2) (tstamp a96feb18-370f-4c14-a199-f20a0731a982))
+  (segment (start 127.525 91.905) (end 129 91.905) (width 0.5) (layer "F.Cu") (net 2) (tstamp b5107875-0658-4ef7-8043-ee00f74b728e))
+  (segment (start 127.025 77.85) (end 127.025 76.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp b68335df-6fa8-4f17-9e8c-f2c903c309f9))
+  (segment (start 121.225 84.525) (end 121.5 84.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp bcfc5c2e-78b5-4cd4-9934-07866ef49a0e))
+  (segment (start 112.7775 87.4) (end 112.7775 86) (width 0.5) (layer "F.Cu") (net 2) (tstamp cf7e0839-2d40-43df-a7ca-74a279f774e7))
+  (segment (start 127.525 90.635) (end 129 90.635) (width 0.5) (layer "F.Cu") (net 2) (tstamp d648fbd9-c571-4fd6-ab3b-8c742a948067))
+  (segment (start 113.025 77.85) (end 113.025 76.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp e732881b-68c2-44bc-95ff-d9f5291eafcf))
+  (segment (start 118.845 87.525) (end 118.845 86.405) (width 0.5) (layer "F.Cu") (net 2) (tstamp fa5595ae-2bdf-4aa8-856d-99301f166826))
+  (via (at 133 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 1b8fa0da-116f-48ea-bbcf-2bd9d85e5a76))
+  (via (at 119 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 207efded-015c-404c-b74c-23ce919fc104))
+  (via (at 126 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 29537f0f-da2b-472f-b722-e26694dcd1c3))
+  (via (at 127.025 76.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 2b99fdec-82b4-47a5-a4f8-5d9c95c451c4))
+  (via (at 129 88.095) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 347584a6-23e9-4c54-94c4-0a7281acdfd7))
+  (via (at 112.7775 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 3f99eeef-002f-4a92-8882-4d617874e6cb))
+  (via (at 119 84.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 47bfcee2-3389-41eb-ab95-bde53c1883f7))
+  (via (at 121.75 79.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 50417079-2e14-4d31-8aa3-25cd19760c02))
+  (via (at 129 91.905) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 63e8532e-fe1d-44ce-bc91-ddcfd2ad101f))
+  (via (at 129 90.635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 7685ddc5-87a4-40d0-95ce-0e0c3d58bb90))
+  (via (at 113.025 76.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 7d4be0a4-80a3-4d69-bc21-05cdb9d7c738))
+  (via (at 121.5 84.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp a6ce97b4-458d-417c-a200-6a8684aea823))
+  (via (at 131 89.365) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp da96b323-f1ef-468b-aa37-73bcad895377))
+  (via (at 129 89.365) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp e3e55b2d-62fd-4da0-8c96-636730ff47d3))
+  (via (at 120.025 76.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp f5eea220-f730-4ebd-b944-0ed11b1ce27b))
+  (via (at 111.805 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp fd155501-36ad-4dc7-85a6-e5970f54b361))
+  (segment (start 119 85.25) (end 119 84.75) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1f932c7c-4662-4355-932b-ba179346ce54))
+  (segment (start 111.805 87.65) (end 111.805 86) (width 0.5) (layer "B.Cu") (net 2) (tstamp 5758e8e9-9376-441f-b071-0fa07fc961ab))
+  (segment (start 122.475 79.25) (end 121.75 79.25) (width 0.5) (layer "B.Cu") (net 2) (tstamp 642a4814-19e7-42e2-ad45-d3592fa6a4d6))
+  (segment (start 118.155 86.095) (end 119 85.25) (width 0.2) (layer "B.Cu") (net 2) (tstamp 673dfc93-ebce-49eb-93fc-cf0a40445814))
+  (segment (start 118.4 87.65) (end 118.25 87.5) (width 0.2) (layer "B.Cu") (net 2) (tstamp 889dc843-9c2e-4a94-80f2-eb929ee41e4e))
+  (segment (start 119.425 87.65) (end 118.4 87.65) (width 0.2) (layer "B.Cu") (net 2) (tstamp a3a14b4b-681a-420d-98c0-261106861cbc))
+  (segment (start 122.5 79.275) (end 122.475 79.25) (width 0.5) (layer "B.Cu") (net 2) (tstamp be1f9efc-932a-47f9-9b7c-c1442a1bf221))
+  (segment (start 118.155 87.65) (end 118.155 86.095) (width 0.2) (layer "B.Cu") (net 2) (tstamp ce588826-1afe-4eab-b7c3-ff613af6f064))
+  (segment (start 120.75 107) (end 120.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 00defe9f-f20b-408c-add3-6b56512b4ccd))
+  (segment (start 112.7775 92.6) (end 112.7775 93.6625) (width 0.5) (layer "F.Cu") (net 4) (tstamp 06be841a-92b2-46db-9348-a93bab05bbb4))
+  (segment (start 119.025 94.5) (end 119.025 93.775) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1046ae99-94e0-44ca-91ec-98503624acf6))
+  (segment (start 113.925 98) (end 113.925 97) (width 0.2) (layer "F.Cu") (net 4) (tstamp 1095e63e-2116-4e9f-9803-e62a122f0c76))
+  (segment (start 104.825 96) (end 105.5 96) (width 0.75) (layer "F.Cu") (net 4) (tstamp 11648f61-2841-47f4-827c-400b5c95903b))
+  (segment (start 114.345 106.595) (end 114.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1b6464ac-390c-4c36-b3a9-66eecb07bc12))
+  (segment (start 108.345 104.975) (end 108.345 106.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1bf3e7f4-16da-46ac-a57a-a028669d9ec3))
+  (segment (start 108.345 106.595) (end 108.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1d5d27d0-392b-49de-a730-0fe07632a9f6))
+  (segment (start 119.025 93.775) (end 118.845 93.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1f09ed5a-03a6-4f70-877f-61dd5f8527fc))
+  (segment (start 114.75 107) (end 114.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 29235e6e-98f0-4499-8910-c32dd25c5ec0))
+  (segment (start 120.5 95.5) (end 121 95) (width 0.5) (layer "F.Cu") (net 4) (tstamp 2ccad09c-2d77-4bea-af38-d2b333e3c4e4))
+  (segment (start 119.925 98) (end 119.925 97) (width 0.2) (layer "F.Cu") (net 4) (tstamp 317a8c93-6660-4f5d-9e44-ae14528efd27))
+  (segment (start 120.345 104.975) (end 120.345 106.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 37ca8dd7-8a69-4177-a52a-65d1f112c912))
+  (segment (start 121.475 107) (end 120.75 107) (width 0.5) (layer "F.Cu") (net 4) (tstamp 410cc68f-f064-4e24-b8bf-d980ccdcae0d))
+  (segment (start 114.345 104.975) (end 114.345 106.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 4642e9be-4b5e-4d42-a1ba-9d7fe28ef450))
+  (segment (start 108.75 107) (end 108.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 488a599a-e4de-48c8-ae1b-ed561d416c69))
+  (segment (start 108.75 90.5) (end 108.75 89.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp 48ec5fa5-898c-46f9-9732-56e9c749fd19))
+  (segment (start 119 95.25) (end 119 94.5) (width 0.5) (layer "F.Cu") (net 4) (tstamp 52b707bf-b475-4f26-8db5-32f29b061d30))
+  (segment (start 109.1 92.15) (end 108.75 92.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp 587e680a-ba9a-41b4-b408-4c1aba245fc7))
+  (segment (start 106.225 86.5) (end 105.5 86.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp 6501140c-468c-46f9-ad30-3987485332c0))
+  (segment (start 109.15 92.15) (end 108.75 91.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp 6d1379b0-9793-44b3-9a12-efec7a60c9ee))
+  (segment (start 109.15 90.1) (end 108.75 90.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp 6d8689f9-1766-4397-af0e-33a3da6f4c09))
+  (segment (start 112.7775 93.6625) (end 112.44 94) (width 0.5) (layer "F.Cu") (net 4) (tstamp 839b5cb1-ccff-4f51-9408-278e1563b753))
+  (segment (start 121 95) (end 121.25 95) (width 0.5) (layer "F.Cu") (net 4) (tstamp 98850a69-5ef2-447e-83a8-70e75f784e28))
+  (segment (start 109.1 90.1) (end 108.75 89.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp b607a9ec-576d-41c5-b3d2-c23f50a93d1d))
+  (segment (start 115.475 107) (end 114.75 107) (width 0.5) (layer "F.Cu") (net 4) (tstamp bef50f98-cdc1-4933-a4e9-7bd941a49f0c))
+  (segment (start 120.345 106.595) (end 120.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp c6004c9d-08ec-4cd5-881f-46a7b353239c))
+  (segment (start 118.845 93.595) (end 118.845 92.475) (width 0.5) (layer "F.Cu") (net 4) (tstamp d6db7abd-f2b9-49b3-99c1-2d787a261819))
+  (segment (start 109.1 92.15) (end 109.75 92.15) (width 0.75) (layer "F.Cu") (net 4) (tstamp e015bc93-1b66-423f-85b5-6a9d37d691b4))
+  (segment (start 108.75 92.5) (end 108.75 91.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp e61c41c8-a2b1-48e2-87df-a013b0ed765a))
+  (segment (start 109.1 90.1) (end 109.75 90.1) (width 0.75) (layer "F.Cu") (net 4) (tstamp ecc53994-a381-4ca0-a889-fcf798f0afad))
+  (segment (start 109.475 107) (end 108.75 107) (width 0.5) (layer "F.Cu") (net 4) (tstamp edfd7a7e-386d-4d05-acac-026dbb99190e))
+  (segment (start 106.225 96) (end 105.5 96) (width 0.75) (layer "F.Cu") (net 4) (tstamp f85daa51-e985-4aee-8ba8-3382cc949414))
+  (segment (start 107.925 98) (end 107.925 97) (width 0.2) (layer "F.Cu") (net 4) (tstamp fc87f28d-8553-41ec-8df5-f79a7a94588e))
+  (via (at 98.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 0231df1c-6719-4b53-a1fb-596c8f5bb99a))
+  (via (at 108.75 91.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 0446be22-df80-4dab-bc3c-30c667f4fe27))
+  (via (at 100.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 0a84c0ec-cd78-4912-9130-331b12f74481))
+  (via (at 102.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 186cd059-11f3-453c-b7f4-4500162cb74c))
+  (via (at 101.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 1d770c98-31fe-40e9-88c5-00d9e06aa691))
+  (via (at 101.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 1fecde89-f739-4580-85c2-dcadaafa97c3))
+  (via (at 98.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 2f6cb397-4b8a-4699-972d-f462488724db))
+  (via (at 108.75 90.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 3280f0bb-8147-4ab0-9218-62844b257ccd))
+  (via (at 108.75 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 3bc79e6d-1bc3-4e35-a024-5dc6d16645f7))
+  (via (at 108.75 89.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 3edc0f8e-5a99-4304-95cb-e55a9e8b7ab2))
+  (via (at 112.44 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 44626f71-15df-42ec-9d4f-9c789cd8bfa4))
+  (via (at 101.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 461ea9bd-9c7b-4fa0-bf61-43d81ed50520))
+  (via (at 107.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 48bc0aaa-eab1-48a6-bbac-5450f4dbb4c9))
+  (via (at 111.805 103.375) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 507b0570-ba85-43cb-93fb-76b9d3c88669))
+  (via (at 102.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 572a6522-dd8b-4d31-872a-2392bdf60226))
+  (via (at 98.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 5ca767f7-655e-482a-bcf8-19f4dbf56914))
+  (via (at 102.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 5dad6fe9-65b6-40d1-95d3-34d144a64c63))
+  (via (at 100.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 65a3f8ab-a40f-4640-97d2-c2fc37d4e775))
+  (via (at 102.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 7b1316bd-1b2d-4b4f-8100-91c6a8b08d65))
+  (via (at 124 93.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 7db7391e-dc3f-4c6c-8730-461c788a38c8))
+  (via (at 99.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 8147982d-a6a3-4e20-a1cb-e37579464893))
+  (via (at 99.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 82865aef-5be7-4a0d-9a37-7ed2ac775b89))
+  (via (at 120.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 9297e992-f625-4260-8343-64da390672af))
+  (via (at 105.5 96) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 9c89977d-041a-4eb9-a3f5-b68313f21412))
+  (via (at 119 95.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp a322f653-03bc-4876-94ed-b3520eb51b62))
+  (via (at 101.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp a88d7c72-ce88-463c-890e-448acf03cad0))
+  (via (at 99.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp b08cbae2-e8be-4b7a-9694-e70f0ee46068))
+  (via (at 105.5 86.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp b5db8e5a-6325-4333-b5a0-baecccae1c28))
+  (via (at 119.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp b871850b-1d42-4b7d-be37-f2b4a2a10a0d))
+  (via (at 99.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp c065c4f5-1e78-42ca-b23f-2ef13c2d3f89))
+  (via (at 100.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp c55b2f8d-b4e8-44a4-8dbf-0f76745c6bd7))
+  (via (at 102.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp c6633b8c-796d-4ef9-9d94-c57bc401d318))
+  (via (at 114.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp ca9ef7e4-2d26-4d58-936a-97cf0ab7814d))
+  (via (at 101.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp caef2b39-c9e8-4853-ad37-1f173275f3b9))
+  (via (at 100.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp d23189c0-ac2a-43ad-9401-ccf18907ace3))
+  (via (at 98.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp d4270178-e139-4b4e-94b3-deb95d379148))
+  (via (at 120.5 95.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp d7413461-a536-4007-a82a-3e335d55e96c))
+  (via (at 99.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp da5879b9-13cf-41e1-838a-8584d9303e4d))
+  (via (at 98.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp f2a7d264-f349-4596-8002-8b7913980088))
+  (via (at 100.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp f4dca867-b580-43d6-bc4a-34deccc3a8b1))
+  (via (at 113.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp fe468065-8451-4379-b614-367e48f977bd))
+  (via (at 108.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp fea974b5-a15d-4f14-9bd2-59729316a389))
+  (segment (start 119.425 103.325) (end 119.375 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 1eabb716-3e83-4f26-a098-163519262d68))
+  (segment (start 124 93.5) (end 124 92.75) (width 0.5) (layer "B.Cu") (net 4) (tstamp 3929954b-8bc0-487d-b320-e5b7c8199679))
+  (segment (start 119.425 101.65) (end 119.425 103.325) (width 0.2) (layer "B.Cu") (net 4) (tstamp 542a1f4a-54c9-4ab2-84dc-27a2a8300971))
+  (segment (start 111.805 101.65) (end 111.805 103.375) (width 0.5) (layer "B.Cu") (net 4) (tstamp 762239af-3ffb-4271-81a8-ffbcdfc230ca))
+  (segment (start 119.375 103.375) (end 118.125 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 7d707368-947a-4e6b-b182-544550470be7))
+  (segment (start 118.155 101.65) (end 118.155 103.345) (width 0.2) (layer "B.Cu") (net 4) (tstamp 843fcfae-e032-4113-93eb-17d44908b728))
+  (segment (start 118.155 103.345) (end 118.125 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 911548aa-eb6d-454f-9224-dff9d38e9fb0))
+  (segment (start 120.695 101.65) (end 120.695 103.055) (width 0.2) (layer "B.Cu") (net 4) (tstamp 922ccfbf-cb63-4418-bbf0-478e4506a7f0))
+  (segment (start 120.695 103.055) (end 120.375 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 96ccc733-c5ff-4a0c-9887-59a24da5f40d))
+  (segment (start 118.125 103.375) (end 111.805 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 9c3b0dfa-a00b-4464-a554-3a2df34b7806))
+  (segment (start 120.375 103.375) (end 119.375 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp f948ca26-b1ff-4808-9d2b-1077622a0aff))
+  (segment (start 117.475 94.5) (end 117.5 94.475) (width 0.5) (layer "F.Cu") (net 5) (tstamp 0bfe1a5e-f14d-459b-b3df-a38aa538169e))
+  (segment (start 122.655 93.905) (end 122.75 94) (width 0.5) (layer "F.Cu") (net 5) (tstamp 16a06a46-0c18-4a11-a568-a132104b5216))
+  (segment (start 124.575 98) (end 124.575 97) (width 0.2) (layer "F.Cu") (net 5) (tstamp 23992a1a-cfdb-41ee-9b8a-850f0a443225))
+  (segment (start 118.155 104.975) (end 118.155 106.595) (width 0.5) (layer "F.Cu") (net 5) (tstamp 33f34ac3-969e-4bb4-af26-1c53101ec146))
+  (segment (start 112.575 98) (end 112.575 97) (width 0.2) (layer "F.Cu") (net 5) (tstamp 344c8534-b789-430b-8042-4fe1e34ebe90))
+  (segment (start 117.2225 92.6) (end 117.2225 93.2225) (width 0.5) (layer "F.Cu") (net 5) (tstamp 383f5e1c-0e1f-4795-a0a1-5058e2251b54))
+  (segment (start 118 95.25) (end 117.5 94.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 389098b0-de78-430a-b6dd-81118d294462))
+  (segment (start 124.155 104.975) (end 124.155 106.595) (width 0.5) (layer "F.Cu") (net 5) (tstamp 6636e137-a48b-4198-a4c8-be95a98c59ec))
+  (segment (start 123.025 107) (end 123.75 107) (width 0.5) (layer "F.Cu") (net 5) (tstamp 66b5e965-4d7b-4f35-8f3f-a58671fc88cb))
+  (segment (start 118.155 106.595) (end 118 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 6aff7816-1d2d-4d72-8430-32cf6e3a3e67))
+  (segment (start 124.155 106.595) (end 124 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 6b63414e-efee-4683-af09-c9b48feea9d5))
+  (segment (start 122.655 92.475) (end 122.655 93.905) (width 0.5) (layer "F.Cu") (net 5) (tstamp 7f4ca891-3607-4f9c-ae85-d0d7ffd7d5cd))
+  (segment (start 117.2225 93.2225) (end 117.5 93.5) (width 0.5) (layer "F.Cu") (net 5) (tstamp 83d386a3-42f7-4dae-9b68-a978e3637792))
+  (segment (start 123.75 107) (end 124 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 86fa03cf-7dc8-4df1-9f12-f37cfaaf1c8b))
+  (segment (start 122.775 94.025) (end 122.75 94) (width 0.5) (layer "F.Cu") (net 5) (tstamp 9162ad8c-371b-42e6-997b-e479828ae5e8))
+  (segment (start 117.5 94.75) (end 117.5 94.5) (width 0.5) (layer "F.Cu") (net 5) (tstamp 916b2303-d69e-430a-bd4a-4b11e7ab321f))
+  (segment (start 112.155 104.975) (end 112.155 106.595) (width 0.5) (layer "F.Cu") (net 5) (tstamp 93e9d6d9-ec2c-4d12-a76a-518439656226))
+  (segment (start 122.775 95) (end 122.775 94.025) (width 0.5) (layer "F.Cu") (net 5) (tstamp a75f4ab5-331b-4c51-85c7-150468041f38))
+  (segment (start 118.575 98) (end 118.575 97) (width 0.2) (layer "F.Cu") (net 5) (tstamp b01fc7bd-172e-42b7-98d1-ea4e57f7feeb))
+  (segment (start 117.5 94.475) (end 117.5 93.5) (width 0.5) (layer "F.Cu") (net 5) (tstamp b7931cc7-bf4e-4808-8434-b0848da9c0a1))
+  (segment (start 117.025 107) (end 117.75 107) (width 0.5) (layer "F.Cu") (net 5) (tstamp c8aa0594-6379-4ce7-a3aa-e0d6e91150bd))
+  (segment (start 111.75 107) (end 112 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp cadb513f-66ab-4e15-b1ae-83f6cafc9fda))
+  (segment (start 117.75 107) (end 118 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp d0e19f1e-03cd-4160-863c-5de6ae233595))
+  (segment (start 112.155 106.595) (end 112 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp d81625fc-75be-433e-8688-de48b92756dc))
+  (segment (start 111.025 107) (end 111.75 107) (width 0.5) (layer "F.Cu") (net 5) (tstamp e4ca721a-ea11-4f9d-92a4-87b6f7b4738b))
+  (via (at 108.5 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 04c9442c-4f6c-4fa0-ae52-a707f20267f2))
+  (via (at 124.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 333469db-8535-481d-8759-cf870c4ce396))
+  (via (at 108.5 88.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 402be2ad-c11e-4c06-a362-6a5e842b39fa))
+  (via (at 118 95.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 4c32de59-2454-4925-bff6-49254c77bb0b))
+  (via (at 112 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 555b9510-55ae-499e-9ef7-f5aef036a2ee))
+  (via (at 124 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 6914805b-d67f-4893-8f72-dabd88286244))
+  (via (at 112.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 6d2b5e07-d461-4767-a0f5-2eb4d49a1980))
+  (via (at 118 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 802edb82-ccce-4e67-a19f-0de0eb1fd16f))
+  (via (at 107.75 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp a875fda7-1f64-4c78-9a11-83fc7a30c9ff))
+  (via (at 122.75 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp e5a59e53-1517-4975-b14a-f1fb3308d976))
+  (via (at 118.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp f099a730-9e9e-4986-b07d-e7abf232048a))
+  (segment (start 120.695 92.35) (end 121.095 92.75) (width 0.5) (layer "B.Cu") (net 5) (tstamp 591d57e5-9226-4029-a127-4b775c9c7af0))
+  (segment (start 122.75 94) (end 122.75 93.25) (width 0.5) (layer "B.Cu") (net 5) (tstamp d76939a9-2093-4eee-9def-ced16ad0fb1a))
+  (segment (start 121.095 92.75) (end 122.25 92.75) (width 0.5) (layer "B.Cu") (net 5) (tstamp ed934885-ce82-4823-b26d-b9869f526464))
+  (segment (start 122.75 93.25) (end 122.25 92.75) (width 0.5) (layer "B.Cu") (net 5) (tstamp f947a2e8-d8ed-46d3-bc12-145c307abccc))
+  (segment (start 110.1 103.1) (end 107.65 103.1) (width 0.2) (layer "F.Cu") (net 6) (tstamp 012a61dd-2457-4c88-a281-0cf311686cb2))
+  (segment (start 107.325 103.425) (end 106.25 103.425) (width 0.2) (layer "F.Cu") (net 6) (tstamp 3b48d3b8-d76b-4da2-bb18-5f96cbebafc4))
+  (segment (start 110.885 103.885) (end 110.1 103.1) (width 0.2) (layer "F.Cu") (net 6) (tstamp 950445cf-b35e-49be-a788-8fb74608fabc))
+  (segment (start 107.65 103.1) (end 107.325 103.425) (width 0.2) (layer "F.Cu") (net 6) (tstamp a023c3fd-4d99-4f92-8561-f70d6b680dc2))
+  (segment (start 104.7875 103.5) (end 106.25 103.5) (width 0.2) (layer "F.Cu") (net 6) (tstamp e96bcc96-9e7b-4cc8-afbd-490ce0f03cab))
+  (segment (start 110.885 104.975) (end 110.885 103.885) (width 0.2) (layer "F.Cu") (net 6) (tstamp f89a9d65-1829-41eb-9b54-d8ec763c63bc))
+  (segment (start 102.2 103.8) (end 102.5 103.5) (width 0.2) (layer "F.Cu") (net 7) (tstamp 0ac092b8-70eb-4f50-a4aa-3661f613e9f7))
+  (segment (start 101.29 103.8) (end 102.2 103.8) (width 0.2) (layer "F.Cu") (net 7) (tstamp 890e4a2d-297b-4269-8a35-c01e6dd2ed2d))
+  (segment (start 102.5 103.5) (end 103.2125 103.5) (width 0.2) (layer "F.Cu") (net 7) (tstamp af78c0d3-7857-457b-91ab-f5514c492b49))
+  (segment (start 99.95 105) (end 103 105) (width 0.2) (layer "F.Cu") (net 8) (tstamp b3c0172d-e4db-4af7-8468-84c68706b3d2))
+  (segment (start 98.75 103.8) (end 99.95 105) (width 0.2) (layer "F.Cu") (net 8) (tstamp e2a16ff5-6bad-4c46-8a7e-89a515b607c9))
+  (segment (start 107.825 103.5) (end 109.25 103.5) (width 0.2) (layer "F.Cu") (net 9) (tstamp 0d6e02b5-55d1-4b88-985b-7ddb28bea453))
+  (segment (start 106.25 105.075) (end 107.825 103.5) (width 0.2) (layer "F.Cu") (net 9) (tstamp 3c5c7bdb-dfbe-4277-8ca9-cdd8a223c7cd))
+  (segment (start 104.7875 105) (end 106.25 105) (width 0.2) (layer "F.Cu") (net 9) (tstamp 7d827d88-05f6-437a-a0ce-2984f9c16b42))
+  (segment (start 109.615 103.865) (end 109.615 104.975) (width 0.2) (layer "F.Cu") (net 9) (tstamp a4fc501e-375c-435d-8e0f-f7aed7b237fe))
+  (segment (start 109.25 103.5) (end 109.615 103.865) (width 0.2) (layer "F.Cu") (net 9) (tstamp b1bfa510-41b4-4a8e-812d-dfdf31ab57a6))
+  (segment (start 106.25 100.425) (end 106.25 100.5) (width 0.2) (layer "F.Cu") (net 10) (tstamp 063faaef-0556-425a-b247-60f780bd450f))
+  (segment (start 107.65 102.3) (end 115.3 102.3) (width 0.2) (layer "F.Cu") (net 10) (tstamp 0d31bb25-8449-4bf5-9fb7-fb3e9fc0c298))
+  (segment (start 116.885 103.885) (end 116.885 104.975) (width 0.2) (layer "F.Cu") (net 10) (tstamp 431d0684-401d-44e5-bced-d602e42f8538))
+  (segment (start 106.25 100.9) (end 107.65 102.3) (width 0.2) (layer "F.Cu") (net 10) (tstamp 503a3c50-063b-4bf0-a7ff-290cf0bbcec5))
+  (segment (start 106.25 100.5) (end 106.25 100.9) (width 0.2) (layer "F.Cu") (net 10) (tstamp 8621dfd7-6d87-4de4-89f9-9b7b62781780))
+  (segment (start 115.3 102.3) (end 116.885 103.885) (width 0.2) (layer "F.Cu") (net 10) (tstamp a0ceca9d-ac51-4a5d-8baa-863ea95dbb5e))
+  (segment (start 106.25 100.5) (end 104.7875 100.5) (width 0.2) (layer "F.Cu") (net 10) (tstamp e0342478-6c56-43e1-b1b3-896e9eca2209))
+  (segment (start 101.29 101.26) (end 102.05 100.5) (width 0.2) (layer "F.Cu") (net 11) (tstamp c9cf8d78-ab9f-494d-b2cb-46d4941fb622))
+  (segment (start 102.05 100.5) (end 103 100.5) (width 0.2) (layer "F.Cu") (net 11) (tstamp e3bf7aa4-1ae3-41e6-99d2-4f7e335457cd))
+  (segment (start 99.99 102.5) (end 98.75 101.26) (width 0.2) (layer "F.Cu") (net 12) (tstamp 2824b607-f457-4366-8935-d6bbeefae155))
+  (segment (start 102.5 102) (end 102 102.5) (width 0.2) (layer "F.Cu") (net 12) (tstamp 6d3d1064-38fc-4d2c-bdec-e08bcb8332f7))
+  (segment (start 103.2125 102) (end 102.5 102) (width 0.2) (layer "F.Cu") (net 12) (tstamp 8cbf2aca-2e22-4d15-8358-8b5aa7aef963))
+  (segment (start 102 102.5) (end 99.99 102.5) (width 0.2) (layer "F.Cu") (net 12) (tstamp eec4f954-3e97-408f-856f-d26c98d653ba))
+  (segment (start 104.7875 102) (end 106.25 102) (width 0.2) (layer "F.Cu") (net 13) (tstamp 7b1938c5-a90d-4e1c-bc96-fa93ef088869))
+  (segment (start 106.825 102.075) (end 107.45 102.7) (width 0.2) (layer "F.Cu") (net 13) (tstamp 8f8a1962-437b-430f-af58-7f235ae75ad1))
+  (segment (start 115.615 103.865) (end 115.615 104.975) (width 0.2) (layer "F.Cu") (net 13) (tstamp a098fda7-661c-4775-9fba-19568508c902))
+  (segment (start 114.45 102.7) (end 115.615 103.865) (width 0.2) (layer "F.Cu") (net 13) (tstamp e995658a-f1b3-4b2f-8a80-ebbba887562d))
+  (segment (start 107.45 102.7) (end 114.45 102.7) (width 0.2) (layer "F.Cu") (net 13) (tstamp facd2320-6c87-49c6-8510-840246793714))
+  (segment (start 106.25 102.075) (end 106.825 102.075) (width 0.2) (layer "F.Cu") (net 13) (tstamp ffa11594-4f2a-4fd6-9552-5bdb370dd962))
+  (segment (start 120.5 101.5) (end 122.885 103.885) (width 0.2) (layer "F.Cu") (net 14) (tstamp 2917c557-3af4-4998-b630-dc7766dd3f10))
+  (segment (start 107.45 100.95) (end 108 101.5) (width 0.2) (layer "F.Cu") (net 14) (tstamp 2fa85fdc-90fb-451c-9b46-61c58c94a8cc))
+  (segment (start 108 101.5) (end 120.5 101.5) (width 0.2) (layer "F.Cu") (net 14) (tstamp 30c4e456-b639-4865-b95b-498348b002fb))
+  (segment (start 122.885 103.885) (end 122.885 104.975) (width 0.2) (layer "F.Cu") (net 14) (tstamp 587b07b3-b7cc-4bc2-aa0e-f2576ad74167))
+  (segment (start 107.45 99.1) (end 107.45 100.95) (width 0.2) (layer "F.Cu") (net 14) (tstamp 90281619-f799-4238-8f7d-0c91d83e34da))
+  (segment (start 106.25 97.9) (end 107.45 99.1) (width 0.2) (layer "F.Cu") (net 14) (tstamp b5d3677f-8cfb-46c6-bd0b-3a3d31cb7ed6))
+  (segment (start 106.25 97.425) (end 106.25 97.9) (width 0.2) (layer "F.Cu") (net 14) (tstamp ce10b33a-417c-441b-b503-4a2f42795865))
+  (segment (start 104.7875 97.5) (end 106.25 97.5) (width 0.2) (layer "F.Cu") (net 14) (tstamp f073b2a9-c495-40b7-8b2e-2f8cb6e912bf))
+  (segment (start 101.29 98.72) (end 102.51 97.5) (width 0.2) (layer "F.Cu") (net 15) (tstamp 59d05c14-dd14-4cca-8d55-c6a947b99ee1))
+  (segment (start 102.51 97.5) (end 103.25 97.5) (width 0.2) (layer "F.Cu") (net 15) (tstamp f88433be-c717-4cf1-9642-7cd4203165ec))
+  (segment (start 100.03 100) (end 98.75 98.72) (width 0.2) (layer "F.Cu") (net 16) (tstamp 35b4491b-9073-4917-8c23-a61fc19cb050))
+  (segment (start 102.2125 100) (end 100.03 100) (width 0.2) (layer "F.Cu") (net 16) (tstamp 55570477-2e9f-4b1e-aa45-1025cf4375fd))
+  (segment (start 103.2125 99) (end 102.2125 100) (width 0.2) (layer "F.Cu") (net 16) (tstamp 640c4cdc-f806-4cf3-b2df-b98fac5f1092))
+  (segment (start 104.7875 99) (end 106.25 99) (width 0.2) (layer "F.Cu") (net 17) (tstamp 12e67958-6ad7-4c94-a625-fc2f43c8e614))
+  (segment (start 121.615 104.975) (end 121.615 103.865) (width 0.2) (layer "F.Cu") (net 17) (tstamp 190e98b8-a0cd-47ba-a0de-d179fcabda3d))
+  (segment (start 119.65 101.9) (end 107.85 101.9) (width 0.2) (layer "F.Cu") (net 17) (tstamp 23fc5b2d-9a1a-4408-b0b6-b8ebd858cc8a))
+  (segment (start 106.825 99.075) (end 106.25 99.075) (width 0.2) (layer "F.Cu") (net 17) (tstamp 67032527-cb29-41c2-a64d-e434dd5c6721))
+  (segment (start 107.05 101.1) (end 107.05 99.3) (width 0.2) (layer "F.Cu") (net 17) (tstamp 951295b5-daa9-4be7-9a67-44b9645a9dc0))
+  (segment (start 107.05 99.3) (end 106.825 99.075) (width 0.2) (layer "F.Cu") (net 17) (tstamp 9b116455-e13a-412a-8e19-d36fac9beb8e))
+  (segment (start 107.85 101.9) (end 107.05 101.1) (width 0.2) (layer "F.Cu") (net 17) (tstamp a87f75b5-33b6-456d-9e7c-aaf9b750cc2f))
+  (segment (start 121.615 103.865) (end 119.65 101.9) (width 0.2) (layer "F.Cu") (net 17) (tstamp f3670735-9772-4031-bcc0-5f08f0b7c691))
+  (segment (start 120.115 87.525) (end 120.115 85.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp 33d89fb2-1bba-49bd-93d4-e86021698960))
+  (segment (start 130.25 86.75) (end 129.25 85.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp 39a0f667-4a79-4e99-8766-d0135b446073))
+  (segment (start 124.25 85.75) (end 123.75 85.25) (width 0.2) (layer "F.Cu") (net 19) (tstamp 4b052f70-33b8-4ebb-a3f2-6b176c1f559a))
+  (segment (start 129.25 85.75) (end 124.25 85.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp 61b7a847-0790-46be-b92c-832f6c2ed161))
+  (segment (start 131 90.635) (end 130.25 89.885) (width 0.2) (layer "F.Cu") (net 19) (tstamp 64ebb798-3bb4-42f7-96a2-a54dcf372883))
+  (segment (start 132.475 90.635) (end 131 90.635) (width 0.2) (layer "F.Cu") (net 19) (tstamp d5a337f0-9a82-403a-bba4-632b67eb1a5f))
+  (segment (start 130.25 89.885) (end 130.25 86.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp ed20e75d-3a59-49fd-85bb-9395064e5815))
+  (via (at 131 90.635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 19) (tstamp 8469397f-5f2c-40dc-b603-d0ae69a26af5))
+  (via (at 123.75 85.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 19) (tstamp 9139fe32-4fc9-44ee-8866-ba55ed480613))
+  (via (at 120.115 85.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 19) (tstamp f4817791-03f1-4fa7-8606-a9cda1d812fb))
+  (segment (start 119.25 76) (end 118.155 77.095) (width 0.2) (layer "B.Cu") (net 19) (tstamp 201812b9-cade-42a6-8d70-64a1b6f99757))
+  (segment (start 118.155 77.095) (end 118.155 78.35) (width 0.2) (layer "B.Cu") (net 19) (tstamp 64ac7911-6d15-4f73-9011-23e6a62da95c))
+  (segment (start 133.5 79.75) (end 133.5 76.75) (width 0.2) (layer "B.Cu") (net 19) (tstamp 6c122084-f8d3-4aac-b73d-69cb8c764def))
+  (segment (start 131.75 90.635) (end 131 90.635) (width 0.2) (layer "B.Cu") (net 19) (tstamp 766a5cd1-319b-4b65-83c2-2c37cf93152a))
+  (segment (start 133.5 76.75) (end 132.75 76) (width 0.2) (layer "B.Cu") (net 19) (tstamp 7f71241a-7465-4e05-8a85-cf81a2f460da))
+  (segment (start 133.5 99.53) (end 133.5 94.75) (width 0.2) (layer "B.Cu") (net 19) (tstamp 865aa02b-5f10-483a-9391-f53bf720feab))
+  (segment (start 131.77 101.26) (end 133.5 99.53) (width 0.2) (layer "B.Cu") (net 19) (tstamp 8d857c89-a7a4-4c1b-81ba-3cf49a1c1b56))
+  (segment (start 132.75 76) (end 119.25 76) (width 0.2) (layer "B.Cu") (net 19) (tstamp 994daac4-6ac7-403a-badb-bd9af4f582d2))
+  (segment (start 120.615 85.25) (end 123.75 85.25) (width 0.2) (layer "B.Cu") (net 19) (tstamp 99a42f21-db72-4b41-a4a7-1524d58bbbf0))
+  (segment (start 131.75 81.5) (end 133.5 79.75) (width 0.2) (layer "B.Cu") (net 19) (tstamp 9c4ec7bc-9286-443a-b7a4-3a0292924663))
+  (segment (start 131.75 93) (end 131.75 81.5) (width 0.2) (layer "B.Cu") (net 19) (tstamp 9fd01104-fea9-4d2f-a21e-d8e9b2d97004))
+  (segment (start 120.115 85.75) (end 120.615 85.25) (width 0.2) (layer "B.Cu") (net 19) (tstamp af8ecd36-56aa-4fd3-946e-8bd8afa0dea3))
+  (segment (start 133.5 94.75) (end 131.75 93) (width 0.2) (layer "B.Cu") (net 19) (tstamp f3eb4560-d997-4c11-9d59-d93aacaaf2c7))
+  (segment (start 115.25 76) (end 114.325 76.925) (width 0.2) (layer "F.Cu") (net 20) (tstamp 4c4deac2-52eb-4408-9308-71fc4c7e29f8))
+  (segment (start 114.325 76.925) (end 114.325 77.85) (width 0.2) (layer "F.Cu") (net 20) (tstamp 9703dc60-8b7c-4e21-8233-c19a8e4fda26))
+  (segment (start 132.25 77.25) (end 132.25 76.75) (width 0.2) (layer "F.Cu") (net 20) (tstamp cf84a839-1b87-4509-8f06-c5460750b475))
+  (segment (start 131.5 76) (end 115.25 76) (width 0.2) (layer "F.Cu") (net 20) (tstamp e8fa3569-88fd-4b7b-8072-8a4cd697cef5))
+  (segment (start 132.25 76.75) (end 131.5 76) (width 0.2) (layer "F.Cu") (net 20) (tstamp ee27e33a-8f08-4c43-b475-67096da85767))
+  (segment (start 114.325 77.85) (end 113.675 77.85) (width 0.2) (layer "F.Cu") (net 20) (tstamp f6e3f476-b10f-443a-82d2-45bc0b7bb7fb))
+  (via (at 132.25 77.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 20) (tstamp 85aa9e64-d939-452c-86ba-6e59bf674259))
+  (segment (start 129 81.25) (end 132.25 78) (width 0.2) (layer "B.Cu") (net 20) (tstamp 0cc055bc-e9a0-4945-857a-0423afd801d9))
+  (segment (start 129 87) (end 129 81.25) (width 0.2) (layer "B.Cu") (net 20) (tstamp 195f0d96-8893-452a-b22c-864bdf8e3439))
+  (segment (start 128.25 93) (end 128.25 87.75) (width 0.2) (layer "B.Cu") (net 20) (tstamp 1b019539-0ae3-4fab-a9ba-035aeb86adf2))
+  (segment (start 132.25 78) (end 132.25 77.25) (width 0.2) (layer "B.Cu") (net 20) (tstamp 35499005-0b13-446a-ae74-562cd482e7ef))
+  (segment (start 128.25 87.75) (end 129 87) (width 0.2) (layer "B.Cu") (net 20) (tstamp 7e427ad2-8e1c-4a36-9725-93702fd219b5))
+  (segment (start 129.23 101.26) (end 130.5 99.99) (width 0.2) (layer "B.Cu") (net 20) (tstamp 85227c57-5625-4bf5-882b-a8ba0b7b7d50))
+  (segment (start 130.5 95.25) (end 128.25 93) (width 0.2) (layer "B.Cu") (net 20) (tstamp b60e101d-c953-4247-937d-5c656fb3cdbc))
+  (segment (start 130.5 99.99) (end 130.5 95.25) (width 0.2) (layer "B.Cu") (net 20) (tstamp fb71755f-a96c-46d1-99a5-732bd7259f21))
+  (segment (start 132.475 91.905) (end 131 91.905) (width 0.2) (layer "F.Cu") (net 21) (tstamp 0081f011-f29f-42d9-862e-ddaaa013bbcc))
+  (segment (start 131 91.905) (end 129.75 90.655) (width 0.2) (layer "F.Cu") (net 21) (tstamp 0a54da70-4e99-4969-9146-24fb40ad6fe5))
+  (segment (start 129.75 90.655) (end 129.75 87) (width 0.2) (layer "F.Cu") (net 21) (tstamp 3478c48e-7a83-4428-a31c-7dc07b8af182))
+  (segment (start 121.385 87.525) (end 121.385 86) (width 0.2) (layer "F.Cu") (net 21) (tstamp 83e37caf-830f-48dd-b8e1-0e98e095ea4c))
+  (segment (start 129 86.25) (end 124.25 86.25) (width 0.2) (layer "F.Cu") (net 21) (tstamp 91a9e9da-0aa9-4fe8-9f00-33c174c462d8))
+  (segment (start 129.75 87) (end 129 86.25) (width 0.2) (layer "F.Cu") (net 21) (tstamp be9ab5fa-103a-4dbe-9fcf-fa7aa5a8b34b))
+  (segment (start 124.25 86.25) (end 123.75 86.75) (width 0.2) (layer "F.Cu") (net 21) (tstamp e50e88ab-3d95-4069-9cf8-9446b753c912))
+  (via (at 131 91.905) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 21) (tstamp 41c0d101-3284-46d2-8fe5-c25cb343a3fe))
+  (via (at 121.385 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 21) (tstamp 7429922b-5c79-4449-bd3b-7c7f42578f08))
+  (via (at 123.75 86.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 21) (tstamp d93c722b-7d59-4370-bfa3-af1c563ac81e))
+  (segment (start 128.25 76.5) (end 127.25 77.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 04cfb4e3-e9ae-41a4-aa39-2823cd5510c3))
+  (segment (start 130.25 87.75) (end 131.25 86.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp 0a32a034-c670-4f5a-8425-2f2889346703))
+  (segment (start 123.5 80) (end 123 80.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 283e34c4-25ad-49e0-a5f0-7f549e581f33))
+  (segment (start 132.5 76.5) (end 128.25 76.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 2c2bbd91-f16f-40c9-b838-6ef9ab0c8c68))
+  (segment (start 119.425 79.425) (end 119.425 78.35) (width 0.2) (layer "B.Cu") (net 21) (tstamp 39e37c9a-f1d7-4d13-b033-81fdd7ee239a))
+  (segment (start 133 77) (end 132.5 76.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 3fbcbae2-9f81-40c9-873d-e2353f5bbc43))
+  (segment (start 133 95) (end 130.25 92.25) (width 0.2) (layer "B.Cu") (net 21) (tstamp 4af1b9b2-b59f-4b8a-a8bd-d6b4ded30cca))
+  (segment (start 133 97.49) (end 133 95) (width 0.2) (layer "B.Cu") (net 21) (tstamp 55631cba-7072-434c-a18f-ce0638ed52f3))
+  (segment (start 131.25 86.75) (end 131.25 81.25) (width 0.2) (layer "B.Cu") (net 21) (tstamp 7137c550-2eb2-47df-bc4f-dfbd43054dda))
+  (segment (start 130.25 92.25) (end 130.25 87.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp 715504ff-6d68-405e-a877-20aec948a1d0))
+  (segment (start 131.25 81.25) (end 133 79.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 78528540-e728-43f6-95a4-e40ffc5e6fa1))
+  (segment (start 133 79.5) (end 133 77) (width 0.2) (layer "B.Cu") (net 21) (tstamp 85d4da8b-3c38-4199-bd86-91fc105b7c2b))
+  (segment (start 123 80.5) (end 120.5 80.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 8e1a0c96-ab19-41b0-ab21-e5f3484e1343))
+  (segment (start 121.385 86) (end 122.135 86.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp b289c400-6b20-489d-b45f-3292fa847f1f))
+  (segment (start 123.5 78) (end 123.5 80) (width 0.2) (layer "B.Cu") (net 21) (tstamp c8f7ae78-bc7a-42b6-afee-9a8f0a1dc713))
+  (segment (start 120.5 80.5) (end 119.425 79.425) (width 0.2) (layer "B.Cu") (net 21) (tstamp dffea3ee-e5d1-47cf-88af-77f27151c0ba))
+  (segment (start 131 91.905) (end 130.25 91.905) (width 0.2) (layer "B.Cu") (net 21) (tstamp e3bd3e66-6e63-4ee7-b78c-b8629c936a25))
+  (segment (start 131.77 98.72) (end 133 97.49) (width 0.2) (layer "B.Cu") (net 21) (tstamp e605c21f-3ab4-49e2-8adf-f3c60a442f3b))
+  (segment (start 123.75 86.75) (end 122.135 86.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp e9503444-590c-493a-b594-a31b37855745))
+  (segment (start 127.25 77.5) (end 124 77.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp faf9915e-8bdb-4c01-a880-d6a92bc12b50))
+  (segment (start 124 77.5) (end 123.5 78) (width 0.2) (layer "B.Cu") (net 21) (tstamp fe192280-70f0-4742-b5d6-1eaa75580c30))
+  (segment (start 121.25 76.5) (end 120.675 77.075) (width 0.2) (layer "F.Cu") (net 22) (tstamp 10e92259-f785-42a4-868a-f61711c7b3e2))
+  (segment (start 126.5 77.5) (end 125.5 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 12e79f3d-f40b-4e71-8b38-569e625cba08))
+  (segment (start 127.675 78.825) (end 127.5 79) (width 0.2) (layer "F.Cu") (net 22) (tstamp 23b55e0b-e30a-48cd-95ad-e0c7f90ecf90))
+  (segment (start 125.5 76.5) (end 121.25 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 2463722b-b4ca-4deb-b048-fdfc02a34428))
+  (segment (start 127.675 77.075) (end 127.675 77.85) (width 0.2) (layer "F.Cu") (net 22) (tstamp 40f38786-c610-4b71-929c-ec70f9b4f067))
+  (segment (start 128.25 76.5) (end 127.675 77.075) (width 0.2) (layer "F.Cu") (net 22) (tstamp 42f9657c-260f-4b88-910a-3680f5ff1a22))
+  (segment (start 126.5 78.75) (end 126.5 77.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 62b21752-2275-4d54-b063-abcd82ea2477))
+  (segment (start 131.5 77) (end 131 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 7cc5086b-9d88-4212-bb3c-407be39d5bdd))
+  (segment (start 126.75 79) (end 126.5 78.75) (width 0.2) (layer "F.Cu") (net 22) (tstamp 7d27706f-9a9f-4067-93ee-0f773a5c383d))
+  (segment (start 127.5 79) (end 126.75 79) (width 0.2) (layer "F.Cu") (net 22) (tstamp b0322b6c-9d49-480c-96d7-f94c50c428ce))
+  (segment (start 131.5 77.5) (end 131.5 77) (width 0.2) (layer "F.Cu") (net 22) (tstamp c96b00ac-2cad-404b-8ed4-2148d9ba6538))
+  (segment (start 127.675 77.85) (end 127.675 78.825) (width 0.2) (layer "F.Cu") (net 22) (tstamp cf98d897-95f9-4037-8230-af38c4dc6f79))
+  (segment (start 120.675 77.075) (end 120.675 77.85) (width 0.2) (layer "F.Cu") (net 22) (tstamp ebeccc79-6bf2-4467-b041-f778fdc73926))
+  (segment (start 131 76.5) (end 128.25 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp fbff1c02-9ba4-4ca7-8160-3961545c17cc))
+  (via (at 131.5 77.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 22) (tstamp 56799c95-a5db-4a9f-bab3-2f260393cb07))
+  (segment (start 128.5 81) (end 128.5 86.75) (width 0.2) (layer "B.Cu") (net 22) (tstamp 14b4a9c3-b1bf-46bd-8618-8f67a3e8b1b3))
+  (segment (start 127.75 87.5) (end 127.75 93.25) (width 0.2) (layer "B.Cu") (net 22) (tstamp 50cbf075-8c6a-477c-bd9a-2233364a89b3))
+  (segment (start 127.75 93.25) (end 129.23 94.73) (width 0.2) (layer "B.Cu") (net 22) (tstamp 6e3a8bea-5105-48fe-a16a-fd57d05b285f))
+  (segment (start 131.5 77.5) (end 131.5 78) (width 0.2) (layer "B.Cu") (net 22) (tstamp 9ac45116-1967-4866-bc0a-c16389088ec3))
+  (segment (start 128.5 86.75) (end 127.75 87.5) (width 0.2) (layer "B.Cu") (net 22) (tstamp b56bb86b-3d3b-4583-8056-ec53bec4f80a))
+  (segment (start 131.5 78) (end 128.5 81) (width 0.2) (layer "B.Cu") (net 22) (tstamp de60ddde-c28b-43ae-8f04-06aa14260eac))
+  (segment (start 129.23 94.73) (end 129.23 96.18) (width 0.2) (layer "B.Cu") (net 22) (tstamp fb0a573c-4a19-4db1-a4d4-29c5b8eb2198))
+  (segment (start 129.5 77) (end 129.75 77.25) (width 0.2) (layer "F.Cu") (net 23) (tstamp 041cb687-9104-4a62-8977-618609e4838c))
+  (segment (start 126.5 79.5) (end 126 79) (width 0.2) (layer "F.Cu") (net 23) (tstamp 0f378833-8fa7-4e26-935c-d49d4ec1ec7a))
+  (segment (start 126 79) (end 126 77.75) (width 0.2) (layer "F.Cu") (net 23) (tstamp 222c8f90-9b58-48b9-b672-3683ba18674c))
+  (segment (start 128.325 77.175) (end 128.5 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp 3b2bd5bb-b3aa-475f-9b42-c80bc3efd750))
+  (segment (start 130.75 77.25) (end 129.75 77.25) (width 0.2) (layer "F.Cu") (net 23) (tstamp 5819ddbc-c475-4f0e-a5e2-fa67c8f8eb14))
+  (segment (start 125.25 77) (end 121.5 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp 809a2f5f-4590-41af-87d3-afebcef6972c))
+  (segment (start 121.325 77.175) (end 121.325 77.85) (width 0.2) (layer "F.Cu") (net 23) (tstamp 80d0ab20-335a-4bf9-b1b5-a81a8a391ec5))
+  (segment (start 127.75 79.5) (end 126.5 79.5) (width 0.2) (layer "F.Cu") (net 23) (tstamp 8483e979-8984-4ec3-a346-0eb8a3eb8f89))
+  (segment (start 121.5 77) (end 121.325 77.175) (width 0.2) (layer "F.Cu") (net 23) (tstamp 86632950-f7df-4bcf-bc34-605ac6eb8f68))
+  (segment (start 128.325 78.925) (end 127.75 79.5) (width 0.2) (layer "F.Cu") (net 23) (tstamp 89dbf6b7-670c-41e9-802d-2df0e0779085))
+  (segment (start 128.325 77.85) (end 128.325 78.925) (width 0.2) (layer "F.Cu") (net 23) (tstamp 9848235a-80d4-42ac-a7f4-fab35d957639))
+  (segment (start 128.325 77.85) (end 128.325 77.175) (width 0.2) (layer "F.Cu") (net 23) (tstamp b33d1cbe-d1fd-4be8-9e03-812553322375))
+  (segment (start 128.5 77) (end 129.5 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp c2490899-70df-4cc2-8360-ebd40abe7df4))
+  (segment (start 126 77.75) (end 125.25 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp dfe47024-019d-418b-b532-36168a081a59))
+  (via (at 130.75 77.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 23) (tstamp 9a4e894f-9697-4446-b8c1-965adbbc0565))
+  (segment (start 130.75 78) (end 128 80.75) (width 0.2) (layer "B.Cu") (net 23) (tstamp 0e46cb7e-c16d-4752-ad6e-4f2224529c39))
+  (segment (start 127.75 94) (end 127.75 97.24) (width 0.2) (layer "B.Cu") (net 23) (tstamp 3c4bc281-df33-441e-acd3-1e9e1293693c))
+  (segment (start 130.75 77.25) (end 130.75 78) (width 0.2) (layer "B.Cu") (net 23) (tstamp 4f1fe47d-4c6f-4775-82f8-4374b7040b4f))
+  (segment (start 127.25 87.25) (end 127.25 93.5) (width 0.2) (layer "B.Cu") (net 23) (tstamp 5fe7e70f-0c65-4ecd-a65d-a6aff956a70d))
+  (segment (start 128 80.75) (end 128 86.5) (width 0.2) (layer "B.Cu") (net 23) (tstamp 9d2bb65a-dfbd-4111-a64e-30d1f5108524))
+  (segment (start 127.25 93.5) (end 127.75 94) (width 0.2) (layer "B.Cu") (net 23) (tstamp d8aa7a51-a9aa-466f-b374-36a03e8735c9))
+  (segment (start 127.75 97.24) (end 129.23 98.72) (width 0.2) (layer "B.Cu") (net 23) (tstamp ef0bc80d-40b9-4a0f-ba6a-6259da7a55ce))
+  (segment (start 128 86.5) (end 127.25 87.25) (width 0.2) (layer "B.Cu") (net 23) (tstamp f8a9ab5f-ad2d-4b39-934c-9623685803eb))
+  (segment (start 114.975 77.85) (end 114.975 79.025) (width 0.2) (layer "F.Cu") (net 24) (tstamp 189f5259-7f2b-4d46-bb45-c41b29911741))
+  (segment (start 114.975 79.025) (end 114 80) (width 0.2) (layer "F.Cu") (net 24) (tstamp 3f20435e-0ccc-49a1-a325-cb05617ec33a))
+  (segment (start 113.075 80) (end 114 80) (width 0.2) (layer "F.Cu") (net 24) (tstamp fb649b8b-4fb3-4edb-8031-132b1c438ea1))
+  (segment (start 116.75 78.425) (end 116.175 77.85) (width 0.2) (layer "F.Cu") (net 24) (tstamp fd9e68ee-1b78-4d52-a985-b4a09c07bbd3))
+  (segment (start 116.175 77.85) (end 114.975 77.85) (width 0.2) (layer "F.Cu") (net 24) (tstamp fda8be11-dbfa-4d7d-b9ad-6a730efde4c3))
+  (via (at 113.075 80) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 24) (tstamp b62e704f-d2a7-43c2-8aa7-8f4491506dd9))
+  (segment (start 113.075 78.35) (end 113.075 80) (width 0.2) (layer "B.Cu") (net 24) (tstamp 0cfeee33-373e-4421-8a84-e7da846e071a))
+  (segment (start 126.6 82.15) (end 127.025 82.15) (width 0.2) (layer "F.Cu") (net 25) (tstamp 1d14a6c2-b17a-4723-a629-93ee55f2010a))
+  (segment (start 123 82.5) (end 126.25 82.5) (width 0.2) (layer "F.Cu") (net 25) (tstamp 5f1d94f0-be32-46d8-b445-cb739b2a5f1f))
+  (segment (start 114.6825 87.4) (end 114.6825 86) (width 0.2) (layer "F.Cu") (net 25) (tstamp b0cf4ccc-250b-48f4-af97-30139b20ae69))
+  (segment (start 126.25 82.5) (end 126.6 82.15) (width 0.2) (layer "F.Cu") (net 25) (tstamp d84865c1-d109-439a-b09b-b8654f2aae93))
+  (via (at 123 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 25) (tstamp 14e7fab3-1502-4e36-a12a-88ed4415cc4f))
+  (via (at 114.6825 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 25) (tstamp 5becdbaf-5cc9-4887-ba90-ea84e041d1d8))
+  (segment (start 115.5 86.25) (end 114.9325 86.25) (width 0.2) (layer "B.Cu") (net 25) (tstamp 18ea0753-a298-433c-9cb3-49905a1bc571))
+  (segment (start 120 83) (end 117.25 83) (width 0.2) (layer "B.Cu") (net 25) (tstamp 31970fc6-072b-4cd8-8df1-955ee43e67db))
+  (segment (start 120.5 82.5) (end 120 83) (width 0.2) (layer "B.Cu") (net 25) (tstamp 9111de1b-a03e-4666-8553-e7c68d24432e))
+  (segment (start 114.9325 86.25) (end 114.6825 86) (width 0.2) (layer "B.Cu") (net 25) (tstamp 9c9215c6-6274-41f6-bcc9-d35337c1edf7))
+  (segment (start 117.25 83) (end 116 84.25) (width 0.2) (layer "B.Cu") (net 25) (tstamp ac6b3067-0df3-42bf-8397-9d5d2f0bda69))
+  (segment (start 116 84.25) (end 116 85.75) (width 0.2) (layer "B.Cu") (net 25) (tstamp b2403cc2-33fa-4777-9284-3895eb3df920))
+  (segment (start 116 85.75) (end 115.5 86.25) (width 0.2) (layer "B.Cu") (net 25) (tstamp b73f8162-86b5-4d0c-bf63-5c46e52ee8d2))
+  (segment (start 123 82.5) (end 120.5 82.5) (width 0.2) (layer "B.Cu") (net 25) (tstamp d9d16c22-f74f-4043-add1-8f4adb3eec03))
+  (segment (start 117.25 84) (end 116.5875 84.6625) (width 0.2) (layer "F.Cu") (net 26) (tstamp 2f1153e6-a1cd-45fc-b74a-5ef11a4b7453))
+  (segment (start 123.625 83) (end 123.375 83.25) (width 0.2) (layer "F.Cu") (net 26) (tstamp 5b166695-e589-4daf-b20e-7db2d61fb405))
+  (segment (start 127.675 82.825) (end 127.5 83) (width 0.2) (layer "F.Cu") (net 26) (tstamp 63f38904-b9c6-43a6-848c-5ba6691d11c0))
+  (segment (start 127.5 83) (end 123.625 83) (width 0.2) (layer "F.Cu") (net 26) (tstamp 679d3900-68ac-4042-aa72-7b04613baf23))
+  (segment (start 120.25 84) (end 117.25 84) (width 0.2) (layer "F.Cu") (net 26) (tstamp 729c8f6e-a63c-4790-9529-f8d107f4bd8a))
+  (segment (start 123.375 83.25) (end 121 83.25) (width 0.2) (layer "F.Cu") (net 26) (tstamp 8f85616e-69ce-4fc8-97d6-eb7d0350c663))
+  (segment (start 127.675 82.15) (end 127.675 82.825) (width 0.2) (layer "F.Cu") (net 26) (tstamp 9db542ef-a4d0-486c-b6e4-dca7d5f29daa))
+  (segment (start 121 83.25) (end 120.25 84) (width 0.2) (layer "F.Cu") (net 26) (tstamp a8ede47f-4fda-4f9e-b37b-522c2c7b20eb))
+  (segment (start 116.5875 84.6625) (end 116.5875 87.4) (width 0.2) (layer "F.Cu") (net 26) (tstamp b2802bb9-b6dc-49cb-a225-25e07cafdeef))
+  (segment (start 118.25 78.425) (end 117.425 79.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 269b6dda-fbc5-4536-bebe-d0311da07788))
+  (segment (start 117.425 79.25) (end 116.25 79.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 87ea1067-52a3-4c75-a757-000eb9a8d066))
+  (segment (start 114.325 81.175) (end 116.25 79.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 93315ed7-dfb5-496e-b977-5ebaf556500a))
+  (segment (start 114.325 81.175) (end 112.925 81.175) (width 0.2) (layer "F.Cu") (net 27) (tstamp b4e0bf9d-96e2-4459-a42e-296264656fe5))
+  (segment (start 112.925 81.175) (end 112.5 80.75) (width 0.2) (layer "F.Cu") (net 27) (tstamp daf35647-8ed8-44f9-95d3-9f523a32dd28))
+  (segment (start 114.325 82.15) (end 114.325 81.175) (width 0.2) (layer "F.Cu") (net 27) (tstamp e262641a-8ac7-43af-ad92-6491b466dfa8))
+  (via (at 112.5 80.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 27) (tstamp 475c29b0-e0bb-4ee2-b576-7c13a8f14342))
+  (segment (start 111.805 80.055) (end 112.5 80.75) (width 0.2) (layer "B.Cu") (net 27) (tstamp 2e0e3163-83f2-401f-b768-f1cc298fc8d7))
+  (segment (start 111.805 78.35) (end 111.805 80.055) (width 0.2) (layer "B.Cu") (net 27) (tstamp efac06d6-dff4-4c10-8795-5aaa78f25d2c))
+  (segment (start 119.5 80.5) (end 119.25 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp 02e05440-e625-4ef4-9f80-0391bc52b142))
+  (segment (start 123.575 78.425) (end 121.5 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp 1c2bd57e-d0b8-49a4-bc32-d401ea11298d))
+  (segment (start 123.175 77.85) (end 121.975 77.85) (width 0.2) (layer "F.Cu") (net 28) (tstamp 250798be-2128-4e1f-996f-5a8a9c4e08e1))
+  (segment (start 120.25 80.25) (end 119.75 80.25) (width 0.2) (layer "F.Cu") (net 28) (tstamp 29257c84-2349-4f76-bc51-6305f789b1a3))
+  (segment (start 123.75 78.425) (end 123.575 78.425) (width 0.2) (layer "F.Cu") (net 28) (tstamp 342051ac-adc6-4edc-80f3-b0118cbcf1e3))
+  (segment (start 120.5 80.5) (end 120.25 80.25) (width 0.2) (layer "F.Cu") (net 28) (tstamp 41c0f62b-7e0c-4913-8b83-ee11f8107351))
+  (segment (start 123.75 78.425) (end 123.175 77.85) (width 0.2) (layer "F.Cu") (net 28) (tstamp c185b668-1324-464e-9d44-5f15d382c503))
+  (segment (start 119.75 80.25) (end 119.5 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp c4e9e288-96e1-42a7-995b-c1a43e720469))
+  (segment (start 121.5 80.5) (end 120.5 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp f33fc6dc-3372-4631-90ef-bf40fc95846c))
+  (via (at 119.25 80.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 28) (tstamp 64e5c94d-8e0a-4288-96b8-2c7e54a969e4))
+  (segment (start 116.5 80.5) (end 119.25 80.5) (width 0.2) (layer "B.Cu") (net 28) (tstamp bea464dc-13f3-44c3-9f50-451b9a877050))
+  (segment (start 115.615 79.615) (end 116.5 80.5) (width 0.2) (layer "B.Cu") (net 28) (tstamp c0f99b04-cf9b-4596-ad09-a9a7d23fd2d5))
+  (segment (start 115.615 78.35) (end 115.615 79.615) (width 0.2) (layer "B.Cu") (net 28) (tstamp de1261cd-307f-4d30-8f67-c564f510eff5))
+  (segment (start 120.025 82.725) (end 119.75 83) (width 0.2) (layer "F.Cu") (net 29) (tstamp 022fd72e-8726-48d2-bcf6-3ffc50398231))
+  (segment (start 120.025 82.15) (end 120.025 82.725) (width 0.2) (layer "F.Cu") (net 29) (tstamp 103ef3ab-c17a-4301-ae2d-92485b5865ec))
+  (segment (start 116.75 83) (end 114.0475 85.7025) (width 0.2) (layer "F.Cu") (net 29) (tstamp 51215e6a-6c5c-48ed-a0f4-0acb51d4b2b3))
+  (segment (start 114.0475 85.7025) (end 114.0475 87.4) (width 0.2) (layer "F.Cu") (net 29) (tstamp 9f7fc860-a258-4f44-82a6-36604c174059))
+  (segment (start 119.75 83) (end 116.75 83) (width 0.2) (layer "F.Cu") (net 29) (tstamp f38c8a80-8eaa-4d71-8472-a407e55f8837))
+  (segment (start 117 83.5) (end 115.9525 84.5475) (width 0.2) (layer "F.Cu") (net 30) (tstamp 140776ff-291a-4baf-99dc-41fb44b1544f))
+  (segment (start 120 83.5) (end 117 83.5) (width 0.2) (layer "F.Cu") (net 30) (tstamp 87b48edc-90a4-4864-9bc3-e989a2c6f751))
+  (segment (start 120.675 82.825) (end 120 83.5) (width 0.2) (layer "F.Cu") (net 30) (tstamp 9a6ce2d3-c61c-4b9c-9047-d810388b9883))
+  (segment (start 115.9525 84.5475) (end 115.9525 87.4) (width 0.2) (layer "F.Cu") (net 30) (tstamp c2ddafbd-1aca-496e-a380-32c179e19b84))
+  (segment (start 120.675 82.15) (end 120.675 82.825) (width 0.2) (layer "F.Cu") (net 30) (tstamp fa0d7972-8352-4f80-b235-b4a183279975))
+  (segment (start 121.325 81.175) (end 123.25 79.25) (width 0.2) (layer "F.Cu") (net 31) (tstamp 1413beea-43fe-4f4d-b8ac-0a6ff4f44067))
+  (segment (start 120 81) (end 121.15 81) (width 0.2) (layer "F.Cu") (net 31) (tstamp 1d3999f0-9600-4cb7-ae6b-048e37c5c4d5))
+  (segment (start 121.325 82.15) (end 121.325 81.175) (width 0.2) (layer "F.Cu") (net 31) (tstamp 1e324220-26be-4d01-b807-046234a73a05))
+  (segment (start 124.425 79.25) (end 123.25 79.25) (width 0.2) (layer "F.Cu") (net 31) (tstamp 523a01b8-cb97-486f-80fc-b3ab2503d782))
+  (segment (start 121.15 81) (end 121.325 81.175) (width 0.2) (layer "F.Cu") (net 31) (tstamp 9f39ebc9-8d3d-49b2-b46b-c4fe63ce3923))
+  (segment (start 125.25 78.425) (end 124.425 79.25) (width 0.2) (layer "F.Cu") (net 31) (tstamp f95dc19d-a972-4c09-b3cd-e16fd21b94b8))
+  (via (at 120 81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 31) (tstamp a09a1bfd-e6de-4ade-bd56-ce2d119b60f5))
+  (segment (start 114.345 78.35) (end 114.345 79.095) (width 0.2) (layer "B.Cu") (net 31) (tstamp 3c0ea4c2-5371-422c-b050-dc34b38b5bd8))
+  (segment (start 119.5 82.25) (end 120 81.75) (width 0.2) (layer "B.Cu") (net 31) (tstamp 4c22d99e-7cb0-4f32-8e6b-da2b799f1224))
+  (segment (start 114.345 79.095) (end 117.5 82.25) (width 0.2) (layer "B.Cu") (net 31) (tstamp 692b7d32-9208-41c5-878c-029437a04841))
+  (segment (start 120 81.75) (end 120 81) (width 0.2) (layer "B.Cu") (net 31) (tstamp 6cb273da-7fa2-4c0c-83b6-b6af20c5c5a1))
+  (segment (start 117.5 82.25) (end 119.5 82.25) (width 0.2) (layer "B.Cu") (net 31) (tstamp 6dea88d0-52c0-4c43-afca-bd2f9d946348))
+  (segment (start 130.175 77.85) (end 128.975 77.85) (width 0.2) (layer "F.Cu") (net 32) (tstamp 499d9ca8-5594-43e9-81f8-ee4dc61bf23a))
+  (segment (start 128.975 79.025) (end 127 81) (width 0.2) (layer "F.Cu") (net 32) (tstamp 53ff7af1-c7cc-472a-9a28-43c992951174))
+  (segment (start 128.975 77.85) (end 128.975 79.025) (width 0.2) (layer "F.Cu") (net 32) (tstamp 54e82af2-450e-4c9b-afd2-83c3c6924794))
+  (segment (start 130.75 78.425) (end 130.175 77.85) (width 0.2) (layer "F.Cu") (net 32) (tstamp 9b715309-163c-453b-bf9f-9e762456136d))
+  (via (at 127 81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 32) (tstamp 50d9ef26-47e7-4f23-b418-af360bce537c))
+  (segment (start 116.5 86) (end 116.5 84.5) (width 0.2) (layer "B.Cu") (net 32) (tstamp 0a643923-5672-44ff-b02c-98842c8f65cb))
+  (segment (start 115.615 86.885) (end 116.5 86) (width 0.2) (layer "B.Cu") (net 32) (tstamp 2566a5fb-57b8-437c-837e-39b2504586d3))
+  (segment (start 120.25 83.5) (end 120.75 83) (width 0.2) (layer "B.Cu") (net 32) (tstamp 2831c03b-40c7-48c3-b42b-94175bcb7d0b))
+  (segment (start 115.615 87.65) (end 115.615 86.885) (width 0.2) (layer "B.Cu") (net 32) (tstamp 378d6d74-2a4a-4312-81c7-95437841382d))
+  (segment (start 116.5 84.5) (end 117.5 83.5) (width 0.2) (layer "B.Cu") (net 32) (tstamp 3a75a4c1-3da0-4bdf-bca8-b301f279f265))
+  (segment (start 122.5 83) (end 122.75 83.25) (width 0.2) (layer "B.Cu") (net 32) (tstamp aa682372-d925-42b0-9c80-1698db9d718f))
+  (segment (start 126 83.25) (end 127 82.25) (width 0.2) (layer "B.Cu") (net 32) (tstamp bce281c7-e4a1-41a2-a539-409eff64c68c))
+  (segment (start 127 82.25) (end 127 81) (width 0.2) (layer "B.Cu") (net 32) (tstamp c9b6d12d-c504-49c3-bca8-08817180ef5a))
+  (segment (start 122.75 83.25) (end 126 83.25) (width 0.2) (layer "B.Cu") (net 32) (tstamp d45e602c-0831-4f76-ab05-b197843e0694))
+  (segment (start 117.5 83.5) (end 120.25 83.5) (width 0.2) (layer "B.Cu") (net 32) (tstamp dd3d0fb8-a04b-47c8-9f72-55960f989e38))
+  (segment (start 120.75 83) (end 122.5 83) (width 0.2) (layer "B.Cu") (net 32) (tstamp dec6b3b4-8c58-4970-a8c7-8bb8e8bc9304))
+  (segment (start 113.4125 85.6625) (end 113.025 85.275) (width 0.2) (layer "F.Cu") (net 33) (tstamp 280fc7bf-c338-4ab3-82e1-805b3ff2c079))
+  (segment (start 113.025 85.275) (end 113.025 82.15) (width 0.2) (layer "F.Cu") (net 33) (tstamp 6fad67fb-ca20-44fb-831c-fe7ec154f89a))
+  (segment (start 113.4125 87.4) (end 113.4125 85.6625) (width 0.2) (layer "F.Cu") (net 33) (tstamp dfca75d6-0d49-4eab-b57f-b8769338df82))
+  (segment (start 115.3175 87.4) (end 115.3175 85.5) (width 0.2) (layer "F.Cu") (net 34) (tstamp 97980126-7fb9-426c-aad7-62f9dfd090b6))
+  (segment (start 113.675 82.15) (end 113.675 85) (width 0.2) (layer "F.Cu") (net 34) (tstamp dba5d362-f94f-4370-95c0-5f63284557b3))
+  (via (at 113.675 85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 34) (tstamp 03479893-8354-4e61-95a5-da421cf8c065))
+  (via (at 115.3175 85.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 34) (tstamp 859e9e31-f4fc-44bc-a044-5af4790b342c))
+  (segment (start 114.8175 85) (end 113.675 85) (width 0.2) (layer "B.Cu") (net 34) (tstamp 29fc6ed5-e6af-44ae-95fb-c236a78c90a4))
+  (segment (start 115.3175 85.5) (end 114.8175 85) (width 0.2) (layer "B.Cu") (net 34) (tstamp 50f3177a-a54a-4882-a107-2bc9d795d984))
+  (segment (start 128.325 82.925) (end 127.5 83.75) (width 0.2) (layer "F.Cu") (net 35) (tstamp 27fc5366-6343-412c-9e9a-1015fa744b62))
+  (segment (start 127.5 83.75) (end 127 83.75) (width 0.2) (layer "F.Cu") (net 35) (tstamp 3d7cd682-c54d-4909-99fe-f38b6355fa73))
+  (segment (start 128.325 82.15) (end 128.325 81.175) (width 0.2) (layer "F.Cu") (net 35) (tstamp 40b78b56-c70f-4d69-8e6f-27c52233ae05))
+  (segment (start 128.325 81.175) (end 130.25 79.25) (width 0.2) (layer "F.Cu") (net 35) (tstamp 472e79b0-cc13-44e0-9afd-88894cf986a0))
+  (segment (start 132.25 78.425) (end 131.425 79.25) (width 0.2) (layer "F.Cu") (net 35) (tstamp 49e4e7c4-c4c6-425c-9d57-327a1e56d3be))
+  (segment (start 131.425 79.25) (end 130.25 79.25) (width 0.2) (layer "F.Cu") (net 35) (tstamp 9a404c36-b745-4cde-8da4-6acba3444622))
+  (segment (start 128.325 82.15) (end 128.325 82.925) (width 0.2) (layer "F.Cu") (net 35) (tstamp a389034e-2451-4a2c-9ffe-b37dff7a20f8))
+  (via (at 127 83.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 35) (tstamp f8abaee3-d918-463a-a01c-f16b34a3fcc1))
+  (segment (start 120.5 84) (end 121 83.5) (width 0.2) (layer "B.Cu") (net 35) (tstamp 0f9c185e-33df-41d7-89f7-f93b5303add6))
+  (segment (start 122.375 83.75) (end 127 83.75) (width 0.2) (layer "B.Cu") (net 35) (tstamp 455f79b6-213e-4eb4-b6c2-9c19d60ff0bb))
+  (segment (start 121 83.5) (end 122.125 83.5) (width 0.2) (layer "B.Cu") (net 35) (tstamp 478cb7fa-e6a8-44ed-a810-3be410de563f))
+  (segment (start 116.885 87.65) (end 116.885 84.865) (width 0.2) (layer "B.Cu") (net 35) (tstamp 4ca7f14c-fa80-45f3-a0df-9bbf9913d40b))
+  (segment (start 117.75 84) (end 120.5 84) (width 0.2) (layer "B.Cu") (net 35) (tstamp 7c41ead7-70e9-4606-9440-efa6636e7c0f))
+  (segment (start 122.125 83.5) (end 122.375 83.75) (width 0.2) (layer "B.Cu") (net 35) (tstamp 9433082e-7efe-4497-8c68-bbc808945e70))
+  (segment (start 116.885 84.865) (end 117.75 84) (width 0.2) (layer "B.Cu") (net 35) (tstamp c2ca4495-1069-42e8-aa74-8408efb58249))
+  (segment (start 118 96.25) (end 123.5 96.25) (width 0.2) (layer "F.Cu") (net 36) (tstamp 03c46bde-260a-4269-8c19-5eabfb398f64))
+  (segment (start 114.6825 95.1825) (end 115 95.5) (width 0.2) (layer "F.Cu") (net 36) (tstamp 07cd88d4-51d5-4d4c-bf62-f99b593052a1))
+  (segment (start 115 95.5) (end 117.25 95.5) (width 0.2) (layer "F.Cu") (net 36) (tstamp 24ec3de9-3441-477c-b5be-a9284e265110))
+  (segment (start 123.75 96.5) (end 123.75 98.75) (width 0.2) (layer "F.Cu") (net 36) (tstamp 3d4599c9-6cab-4286-9f20-11c78c7884fb))
+  (segment (start 124.155 99.155) (end 124.155 100.025) (width 0.2) (layer "F.Cu") (net 36) (tstamp 5b6283e8-644f-47a4-9232-4c46b7872a8f))
+  (segment (start 114.6825 92.6) (end 114.6825 95.1825) (width 0.2) (layer "F.Cu") (net 36) (tstamp 75108ccd-aa11-4d4e-8746-04ecaa83d389))
+  (segment (start 123.5 96.25) (end 123.75 96.5) (width 0.2) (layer "F.Cu") (net 36) (tstamp 872067c7-532f-43fd-828f-c5bd9650541e))
+  (segment (start 123.75 98.75) (end 124.155 99.155) (width 0.2) (layer "F.Cu") (net 36) (tstamp a10c19ab-7686-4b76-925e-8e9b9119554a))
+  (segment (start 117.25 95.5) (end 118 96.25) (width 0.2) (layer "F.Cu") (net 36) (tstamp c60b6b4b-da90-4621-800f-c684930870bd))
+  (segment (start 117.75 96.75) (end 117.75 98.75) (width 0.2) (layer "F.Cu") (net 37) (tstamp 08422d8c-6f41-4026-9c35-21ba0468850b))
+  (segment (start 118.155 99.155) (end 118.155 100.025) (width 0.2) (layer "F.Cu") (net 37) (tstamp 2506570e-d602-4296-a82c-3818f5f5846c))
+  (segment (start 114.75 96) (end 117 96) (width 0.2) (layer "F.Cu") (net 37) (tstamp 724075fd-50c1-446b-82a4-a67b4d2d97c5))
+  (segment (start 114.0475 92.6) (end 114.0475 95.2975) (width 0.2) (layer "F.Cu") (net 37) (tstamp 78f1c74f-d843-439b-9e95-54a052036242))
+  (segment (start 117.75 98.75) (end 118.155 99.155) (width 0.2) (layer "F.Cu") (net 37) (tstamp 9bd1addd-0a7e-4d03-acbf-43aab866ea17))
+  (segment (start 114.0475 95.2975) (end 114.75 96) (width 0.2) (layer "F.Cu") (net 37) (tstamp b630389c-48ff-4a4d-beec-251c3587f022))
+  (segment (start 117 96) (end 117.75 96.75) (width 0.2) (layer "F.Cu") (net 37) (tstamp e493c9ba-95ee-46bb-a0bd-c45afa2a79a3))
+  (segment (start 113.4125 95.5875) (end 113 96) (width 0.2) (layer "F.Cu") (net 38) (tstamp 35c3d0ea-69f6-40e3-9506-46f63029e8bb))
+  (segment (start 112.155 99.155) (end 111.75 98.75) (width 0.2) (layer "F.Cu") (net 38) (tstamp 51f38a06-ff9d-4d96-8ff1-39ce3f5213f1))
+  (segment (start 113 96) (end 112.25 96) (width 0.2) (layer "F.Cu") (net 38) (tstamp 88556017-d508-425d-a573-aea1ef9fff97))
+  (segment (start 113.4125 92.6) (end 113.4125 95.5875) (width 0.2) (layer "F.Cu") (net 38) (tstamp 96d34044-8182-4ded-98c7-cd5caef54b52))
+  (segment (start 112.155 100.025) (end 112.155 99.155) (width 0.2) (layer "F.Cu") (net 38) (tstamp a2d5e888-475c-4a56-ad99-196ba5810501))
+  (segment (start 112.25 96) (end 111.75 96.5) (width 0.2) (layer "F.Cu") (net 38) (tstamp c0bf9daa-b4d4-493e-9fcd-984ae013624d))
+  (segment (start 111.75 98.75) (end 111.75 96.5) (width 0.2) (layer "F.Cu") (net 38) (tstamp c87bbcea-811a-4919-81a6-25c0628a48df))
+  (segment (start 120.345 99.155) (end 120.75 98.75) (width 0.2) (layer "F.Cu") (net 39) (tstamp 01a659bf-2c12-4a1e-8f85-919a34a3e38b))
+  (segment (start 120.75 98.75) (end 120.75 97) (width 0.2) (layer "F.Cu") (net 39) (tstamp 3d664a19-ac2b-47d5-a882-9f3dae96e996))
+  (segment (start 120.345 100.025) (end 120.345 99.155) (width 0.2) (layer "F.Cu") (net 39) (tstamp 6cf3ec02-9f46-42f3-bc01-9dd0b3bb6a8f))
+  (segment (start 116.5875 92.6) (end 116.5875 94) (width 0.2) (layer "F.Cu") (net 39) (tstamp a679628f-629d-48e0-ac7d-66bad1349278))
+  (via (at 120.75 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 39) (tstamp a5e24de1-01a7-4922-a0bf-c0b17817c352))
+  (via (at 116.5875 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 39) (tstamp ae4ccdad-ceb2-4d09-81c5-5324e44d57e4))
+  (segment (start 118 96.25) (end 120.5 96.25) (width 0.2) (layer "B.Cu") (net 39) (tstamp 33544e75-07f0-4372-946e-eef336051b8a))
+  (segment (start 120.75 96.5) (end 120.75 97) (width 0.2) (layer "B.Cu") (net 39) (tstamp 994f1cf4-b2cd-4902-aeb5-866da180bab5))
+  (segment (start 116.5875 94) (end 116.5875 94.8375) (width 0.2) (layer "B.Cu") (net 39) (tstamp d2bb56d6-f9fa-4d85-b894-56a52c05fa75))
+  (segment (start 120.5 96.25) (end 120.75 96.5) (width 0.2) (layer "B.Cu") (net 39) (tstamp f01bd64c-87a0-49c3-bb8c-68da363c4c0a))
+  (segment (start 116.5875 94.8375) (end 118 96.25) (width 0.2) (layer "B.Cu") (net 39) (tstamp fadd2d8b-c071-472a-97b5-be6ee68b2dae))
+  (segment (start 115.9525 92.6) (end 115.9525 94.75) (width 0.2) (layer "F.Cu") (net 40) (tstamp 017322e5-18ba-4f84-866a-a3a38ffbfb9e))
+  (segment (start 114.75 98.75) (end 114.75 97) (width 0.2) (layer "F.Cu") (net 40) (tstamp 4366cd33-2431-4a34-80de-68dddcd8e8da))
+  (segment (start 114.345 99.155) (end 114.75 98.75) (width 0.2) (layer "F.Cu") (net 40) (tstamp b6801845-92c8-4602-b52f-249639b376e6))
+  (segment (start 114.345 100.025) (end 114.345 99.155) (width 0.2) (layer "F.Cu") (net 40) (tstamp d596525b-3920-40ee-a0d0-bbc9a96c2cbf))
+  (via (at 115.9525 94.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 40) (tstamp 34740350-ba83-4836-8717-f0660003b9ea))
+  (via (at 114.75 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 40) (tstamp ff838a9b-13a2-44fc-bfd8-22a8ab12fa48))
+  (segment (start 114.75 97) (end 114.75 95.9525) (width 0.2) (layer "B.Cu") (net 40) (tstamp 7cf3c9a8-e024-4d07-9e5f-7ad5e5e749e4))
+  (segment (start 114.75 95.9525) (end 115.9525 94.75) (width 0.2) (layer "B.Cu") (net 40) (tstamp ab852064-9b9c-4086-b454-ddd9e5773741))
+  (segment (start 108.345 99.155) (end 108.75 98.75) (width 0.2) (layer "F.Cu") (net 41) (tstamp 56f68d75-1259-41f1-88ba-93e12c9d2d4b))
+  (segment (start 115.3175 92.6) (end 115.3175 94) (width 0.2) (layer "F.Cu") (net 41) (tstamp 58395038-dc3a-4cd6-ad76-77e4181498fd))
+  (segment (start 108.345 100.025) (end 108.345 99.155) (width 0.2) (layer "F.Cu") (net 41) (tstamp 6c89102d-49b3-44f5-af25-bcdc22156ff5))
+  (segment (start 108.75 98.75) (end 108.75 97) (width 0.2) (layer "F.Cu") (net 41) (tstamp 81f40fc0-4745-4178-b096-f4435c7bfecb))
+  (via (at 108.75 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 41) (tstamp 058125aa-ed5e-4255-a88f-7bfab87ee84e))
+  (via (at 115.3175 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 41) (tstamp e0c6d740-e777-411e-bfe4-13ddaae3ea00))
+  (segment (start 109.25 98) (end 112.75 98) (width 0.2) (layer "B.Cu") (net 41) (tstamp 529a26dc-3502-4e8c-a337-4c78762e94c8))
+  (segment (start 113.25 97.5) (end 113.25 96.0675) (width 0.2) (layer "B.Cu") (net 41) (tstamp 657a7abf-d290-47f1-bc0e-429f9e7c4611))
+  (segment (start 108.75 97) (end 108.75 97.5) (width 0.2) (layer "B.Cu") (net 41) (tstamp 77190031-36fd-48a4-bf8f-b0493db10f53))
+  (segment (start 108.75 97.5) (end 109.25 98) (width 0.2) (layer "B.Cu") (net 41) (tstamp 772fe882-58fe-416c-aa11-dbd4c4839dbc))
+  (segment (start 112.75 98) (end 113.25 97.5) (width 0.2) (layer "B.Cu") (net 41) (tstamp 8b1f5b44-3aac-415c-a597-268858356143))
+  (segment (start 113.25 96.0675) (end 115.3175 94) (width 0.2) (layer "B.Cu") (net 41) (tstamp de66d9fe-56d4-4064-97ed-8cffd26eece1))
+  (segment (start 110.885 98.115) (end 111 98) (width 0.2) (layer "F.Cu") (net 43) (tstamp 49a970aa-8f4c-41ad-a96a-14e2e7124293))
+  (segment (start 110.885 100.025) (end 110.885 98.115) (width 0.2) (layer "F.Cu") (net 43) (tstamp 848d3151-9266-45cc-ba47-c8a7111513ce))
+  (segment (start 110.925 98) (end 110.925 97) (width 0.2) (layer "F.Cu") (net 43) (tstamp 9295a02b-b950-4926-975e-8a596c7e8fed))
+  (via (at 110.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 43) (tstamp 298c4955-0d3b-4ab9-8840-d2b93b4d29fe))
+  (segment (start 113.075 94.85) (end 110.925 97) (width 0.2) (layer "B.Cu") (net 43) (tstamp 159d04d9-c88f-4189-bbf6-f80f927384b6))
+  (segment (start 113.075 92.35) (end 113.075 94.85) (width 0.2) (layer "B.Cu") (net 43) (tstamp 969a3126-e2f9-44e9-8154-e55af0244a25))
+  (segment (start 116.885 100.025) (end 116.885 98.115) (width 0.2) (layer "F.Cu") (net 44) (tstamp 4f516a8d-bcc7-4712-a071-f4cd17367e16))
+  (segment (start 116.925 98) (end 116.925 97) (width 0.2) (layer "F.Cu") (net 44) (tstamp 736272e3-2e2c-4c2e-8456-6dba77f95844))
+  (segment (start 116.885 98.115) (end 117 98) (width 0.2) (layer "F.Cu") (net 44) (tstamp 82e440ad-ff58-435b-9671-7ea26b238d73))
+  (via (at 116.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 44) (tstamp 451bbf04-b976-43fd-8570-448eb21908af))
+  (segment (start 115.25 100) (end 114.75 100) (width 0.2) (layer "B.Cu") (net 44) (tstamp 3cb4a6fe-e8b4-4c53-85db-cb0d1fa5c1d6))
+  (segment (start 116.925 98.325) (end 115.25 100) (width 0.2) (layer "B.Cu") (net 44) (tstamp 81133af7-1126-43d3-b0f1-20aac963e236))
+  (segment (start 114.345 100.405) (end 114.345 101.65) (width 0.2) (layer "B.Cu") (net 44) (tstamp b165995c-7784-4cb0-89d4-cddeab38520a))
+  (segment (start 114.75 100) (end 114.345 100.405) (width 0.2) (layer "B.Cu") (net 44) (tstamp ebe9a277-2e09-437c-a5a0-ce2434c2e71a))
+  (segment (start 116.925 97) (end 116.925 98.325) (width 0.2) (layer "B.Cu") (net 44) (tstamp fd6268f2-66e2-4efd-bb63-f238ba7a5ce3))
+  (segment (start 115.615 100.025) (end 115.615 98.115) (width 0.2) (layer "F.Cu") (net 45) (tstamp 1fd39440-c666-451e-9cd9-aeadc4cf22d5))
+  (segment (start 115.615 98.115) (end 115.5 98) (width 0.2) (layer "F.Cu") (net 45) (tstamp 9805abaf-4b0d-449a-a349-71ca93dedf4b))
+  (segment (start 115.575 98) (end 115.575 97) (width 0.2) (layer "F.Cu") (net 45) (tstamp bc76dc19-ae5d-499e-8591-80588aa6f6cd))
+  (via (at 115.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 45) (tstamp a1271f67-e593-4773-85a4-7f9d441bd7bd))
+  (segment (start 115.575 97) (end 115.575 98.925) (width 0.2) (layer "B.Cu") (net 45) (tstamp 3ddb17f7-1dd6-4d05-b9fe-7e1b2158297b))
+  (segment (start 114 99.5) (end 113.075 100.425) (width 0.2) (layer "B.Cu") (net 45) (tstamp 68d19ffd-bdc7-4acb-a8a4-f084f36a5a29))
+  (segment (start 115.575 98.925) (end 115 99.5) (width 0.2) (layer "B.Cu") (net 45) (tstamp ca5ede00-4bdd-40c5-943d-f2b266217417))
+  (segment (start 113.075 100.425) (end 113.075 101.65) (width 0.2) (layer "B.Cu") (net 45) (tstamp f2455dcc-bcf5-4c91-a2d1-68706a3da676))
+  (segment (start 115 99.5) (end 114 99.5) (width 0.2) (layer "B.Cu") (net 45) (tstamp feca293c-47fd-49fa-886e-c664a18934f3))
+  (segment (start 122.925 98) (end 122.925 97) (width 0.2) (layer "F.Cu") (net 46) (tstamp 143fea62-f2f6-4fa2-a443-a4c8b39d954f))
+  (segment (start 122.885 98.115) (end 123 98) (width 0.2) (layer "F.Cu") (net 46) (tstamp 284fe022-cbe4-4d51-9362-6d56777cdd32))
+  (segment (start 122.885 100.025) (end 122.885 98.115) (width 0.2) (layer "F.Cu") (net 46) (tstamp effe4517-cfec-4d33-9c5f-8bd7f8898673))
+  (via (at 122.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 908b543a-bdb0-4ce6-849a-da350e8602e1))
+  (segment (start 122.925 98.575) (end 122.925 97) (width 0.2) (layer "B.Cu") (net 46) (tstamp 05945789-3f6f-4304-a908-bf43b656839d))
+  (segment (start 116.885 100.365) (end 117.25 100) (width 0.2) (layer "B.Cu") (net 46) (tstamp 0b1321a8-141d-49ef-8459-0ef5ecb35709))
+  (segment (start 121.5 100) (end 122.925 98.575) (width 0.2) (layer "B.Cu") (net 46) (tstamp 4312e040-47df-48bd-8f9f-dfaf0486c027))
+  (segment (start 117.25 100) (end 121.5 100) (width 0.2) (layer "B.Cu") (net 46) (tstamp 9205e2a7-efb9-46ed-865a-cb159583259f))
+  (segment (start 116.885 101.65) (end 116.885 100.365) (width 0.2) (layer "B.Cu") (net 46) (tstamp c4248315-7ab2-4ffe-a0cd-6a7ecf52b625))
+  (segment (start 121.615 100.025) (end 121.615 98.135) (width 0.2) (layer "F.Cu") (net 47) (tstamp 5b54d7ab-bc7d-44fe-80e5-d203a45adb74))
+  (segment (start 121.575 98) (end 121.575 97) (width 0.2) (layer "F.Cu") (net 47) (tstamp bd8588de-5262-41f3-87db-b029459d6dde))
+  (via (at 121.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 47) (tstamp 4f544a7d-3f3d-4530-a5bb-63f17801b5aa))
+  (segment (start 115.615 101.65) (end 115.615 100.385) (width 0.2) (layer "B.Cu") (net 47) (tstamp 0c237864-af92-4909-81b3-c9908aabcca2))
+  (segment (start 116.5 99.5) (end 121.25 99.5) (width 0.2) (layer "B.Cu") (net 47) (tstamp 2ea569f3-e08c-446b-bde6-35ef559e86a9))
+  (segment (start 115.615 100.385) (end 116.5 99.5) (width 0.2) (layer "B.Cu") (net 47) (tstamp 6a955895-fc99-4b0a-9ddc-ab9a54f4017a))
+  (segment (start 121.25 99.5) (end 121.575 99.175) (width 0.2) (layer "B.Cu") (net 47) (tstamp d9676e1b-faee-49aa-b07e-27fe95f9a034))
+  (segment (start 121.575 99.175) (end 121.575 97) (width 0.2) (layer "B.Cu") (net 47) (tstamp e24eb881-df77-485b-a25f-b0cd1c90fc3f))
+  (segment (start 109.615 98.115) (end 109.5 98) (width 0.2) (layer "F.Cu") (net 51) (tstamp 151bf2d9-c022-4555-817f-e18cdc8f9fe4))
+  (segment (start 109.575 98) (end 109.575 97) (width 0.2) (layer "F.Cu") (net 51) (tstamp cb80cdbc-eaa1-4af4-b3a6-db4b67c92e56))
+  (segment (start 109.615 100.025) (end 109.615 98.115) (width 0.2) (layer "F.Cu") (net 51) (tstamp fc9a0fe1-fffe-4304-ae91-de02eec121e3))
+  (via (at 109.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 51) (tstamp ed26a8e7-4e26-4132-9d8b-8954f6336894))
+  (segment (start 111.805 92.35) (end 111.805 94.77) (width 0.2) (layer "B.Cu") (net 51) (tstamp 533febd2-5c76-472c-b6ba-6a6b9121086e))
+  (segment (start 111.805 94.77) (end 109.575 97) (width 0.2) (layer "B.Cu") (net 51) (tstamp d01713ce-d0d0-4024-8b36-05e099c7bdfa))
+  (segment (start 120.115 92.475) (end 120.115 94.75) (width 0.2) (layer "F.Cu") (net 52) (tstamp 4fc82cd0-66c3-4ca9-a0ee-2b7227ec12ca))
+  (via (at 120.115 94.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 52) (tstamp 09f9894d-d218-4fc9-9237-569a9ab1d297))
+  (segment (start 118.155 92.35) (end 118.155 93.155) (width 0.2) (layer "B.Cu") (net 52) (tstamp 1dcd0410-0bbf-4bce-9889-ae9c1a4d2c5f))
+  (segment (start 119.365 94) (end 120.115 94.75) (width 0.2) (layer "B.Cu") (net 52) (tstamp 256c2c6f-baa7-49f2-bbb9-e0152e0c66e2))
+  (segment (start 118.155 93.155) (end 119 94) (width 0.2) (layer "B.Cu") (net 52) (tstamp 545d008b-2a8d-4902-b501-339a2bb19c07))
+  (segment (start 119 94) (end 119.365 94) (width 0.2) (layer "B.Cu") (net 52) (tstamp 6e8357ae-01dd-4895-8371-826a29b8740b))
+  (segment (start 121.385 92.475) (end 121.385 94) (width 0.2) (layer "F.Cu") (net 53) (tstamp d2e01fc0-0324-40f8-a77a-545f524ad80f))
+  (via (at 121.385 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 53) (tstamp 62c541c1-7fc2-458f-bf5e-537d23d61901))
+  (segment (start 120.25 94) (end 121.385 94) (width 0.2) (layer "B.Cu") (net 53) (tstamp 3d208119-ef1d-45cd-90be-5b41dc7958e2))
+  (segment (start 119.425 92.35) (end 119.425 93.175) (width 0.2) (layer "B.Cu") (net 53) (tstamp 490cfcd5-7fa8-4679-b9f2-b865ae2b49ea))
+  (segment (start 119.425 93.175) (end 120.25 94) (width 0.2) (layer "B.Cu") (net 53) (tstamp dc5137f6-382d-421e-92b7-a944bc23d971))
+
+  (zone (net 5) (net_name "+5VA") (layer "F.Cu") (tstamp 8528e931-65bc-44c0-8956-7f7d851f8031) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 110.5 86.5)
+        (xy 110.5 88.25)
+        (xy 110.25 88.5)
+        (xy 108.5 88.5)
+        (xy 108 89)
+        (xy 108 89.25)
+        (xy 107.75 89.5)
+        (xy 105.5 89.5)
+        (xy 105.25 89.25)
+        (xy 105.25 88.25)
+        (xy 105.5 88)
+        (xy 107 88)
+        (xy 107.25 87.75)
+        (xy 107.25 86.25)
+        (xy 107.5 86)
+        (xy 110 86)
+      )
+    )
+    (filled_polygon
+      (layer "F.Cu")
+      (pts
+        (xy 109.65129 86.000477)
+        (xy 109.803265 86.015445)
+        (xy 109.822293 86.01923)
+        (xy 109.963758 86.062143)
+        (xy 109.981687 86.06957)
+        (xy 110.112054 86.139253)
+        (xy 110.12819 86.150034)
+        (xy 110.242466 86.243817)
+        (xy 110.256183 86.257534)
+        (xy 110.294544 86.304277)
+        (xy 110.349966 86.37181)
+        (xy 110.360747 86.387946)
+        (xy 110.43043 86.518313)
+        (xy 110.437857 86.536241)
+        (xy 110.48077 86.677707)
+        (xy 110.484555 86.696737)
+        (xy 110.499523 86.84871)
+        (xy 110.5 86.858414)
+        (xy 110.5 88.066735)
+        (xy 110.499153 88.079657)
+        (xy 110.487159 88.17076)
+        (xy 110.48047 88.195723)
+        (xy 110.447812 88.274567)
+        (xy 110.43489 88.29695)
+        (xy 110.382934 88.36466)
+        (xy 110.36466 88.382934)
+        (xy 110.29695 88.43489)
+        (xy 110.274567 88.447812)
+        (xy 110.195723 88.48047)
+        (xy 110.17076 88.487159)
+        (xy 110.079657 88.499153)
+        (xy 110.066735 88.5)
+        (xy 108.5 88.5)
+        (xy 108 89)
+        (xy 108 89.125)
+        (xy 107.980871 89.221171)
+        (xy 107.966088 89.25686)
+        (xy 107.917031 89.330278)
+        (xy 107.90472 89.34528)
+        (xy 107.879587 89.370413)
+        (xy 107.86985 89.378951)
+        (xy 107.796949 89.43489)
+        (xy 107.774567 89.447812)
+        (xy 107.695723 89.48047)
+        (xy 107.67076 89.487159)
+        (xy 107.579657 89.499153)
+        (xy 107.566735 89.5)
+        (xy 105.683265 89.5)
+        (xy 105.670343 89.499153)
+        (xy 105.57924 89.487159)
+        (xy 105.554277 89.48047)
+        (xy 105.475433 89.447812)
+        (xy 105.45305 89.43489)
+        (xy 105.38534 89.382934)
+        (xy 105.367066 89.36466)
+        (xy 105.31511 89.29695)
+        (xy 105.302188 89.274567)
+        (xy 105.26953 89.195723)
+        (xy 105.262841 89.17076)
+        (xy 105.250847 89.079657)
+        (xy 105.25 89.066735)
+        (xy 105.25 88.433265)
+        (xy 105.250847 88.420343)
+        (xy 105.262841 88.32924)
+        (xy 105.26953 88.304277)
+        (xy 105.302188 88.225433)
+        (xy 105.31511 88.20305)
+        (xy 105.367066 88.13534)
+        (xy 105.38534 88.117066)
+        (xy 105.45305 88.06511)
+        (xy 105.475433 88.052188)
+        (xy 105.554277 88.01953)
+        (xy 105.57924 88.012841)
+        (xy 105.670343 88.000847)
+        (xy 105.683265 88)
+        (xy 107 88)
+        (xy 107.25 87.75)
+        (xy 107.25 86.433265)
+        (xy 107.250847 86.420343)
+        (xy 107.262841 86.32924)
+        (xy 107.26953 86.304277)
+        (xy 107.302188 86.225433)
+        (xy 107.31511 86.20305)
+        (xy 107.367066 86.13534)
+        (xy 107.38534 86.117066)
+        (xy 107.45305 86.06511)
+        (xy 107.475433 86.052188)
+        (xy 107.554277 86.01953)
+        (xy 107.57924 86.012841)
+        (xy 107.670343 86.000847)
+        (xy 107.683265 86)
+        (xy 109.641586 86)
+      )
+    )
+  )
+  (zone (net 3) (net_name "+12VA") (layer "F.Cu") (tstamp f05fc3a9-efe5-4132-99f3-c9ebfb6734dc) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.254))
+    (min_thickness 0.254) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.254) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 108 92.75)
+        (xy 108 93.5)
+        (xy 108.5 94)
+        (xy 110.25 94)
+        (xy 110.75 94.5)
+        (xy 110.75 95.25)
+        (xy 110.25 95.75)
+        (xy 109 95.75)
+        (xy 108.25 96.5)
+        (xy 107.5 96.5)
+        (xy 107.25 96.25)
+        (xy 107.25 95.5)
+        (xy 107 95.25)
+        (xy 104 95.25)
+        (xy 103.75 95.5)
+        (xy 103.75 96.25)
+        (xy 103.5 96.5)
+        (xy 102.5 96.5)
+        (xy 101.75 97.25)
+        (xy 101 97.25)
+        (xy 100.25 96.5)
+        (xy 100.25 95.75)
+        (xy 101.5 94.5)
+        (xy 103 94.5)
+        (xy 103.75 94.5)
+        (xy 105.5 92.75)
+        (xy 105.75 92.5)
+        (xy 107.75 92.5)
+      )
+    )
+    (filled_polygon
+      (layer "F.Cu")
+      (pts
+        (xy 107.581411 92.501078)
+        (xy 107.615625 92.505582)
+        (xy 107.667235 92.512377)
+        (xy 107.699006 92.52089)
+        (xy 107.771288 92.55083)
+        (xy 107.799774 92.567277)
+        (xy 107.86184 92.614902)
+        (xy 107.885098 92.63816)
+        (xy 107.932723 92.700226)
+        (xy 107.94917 92.728712)
+        (xy 107.97911 92.800994)
+        (xy 107.987623 92.832765)
+        (xy 107.998922 92.918588)
+        (xy 108 92.935035)
+        (xy 108 93.5)
+        (xy 108.5 94)
+        (xy 109.89026 94)
+        (xy 109.902609 94.000607)
+        (xy 109.943407 94.004625)
+        (xy 110.050618 94.015185)
+        (xy 110.07484 94.020003)
+        (xy 110.211217 94.061372)
+        (xy 110.234029 94.070821)
+        (xy 110.359716 94.138003)
+        (xy 110.380243 94.151719)
+        (xy 110.435327 94.196925)
+        (xy 110.490407 94.242128)
+        (xy 110.507872 94.259593)
+        (xy 110.553075 94.314672)
+        (xy 110.598281 94.369757)
+        (xy 110.611997 94.390284)
+        (xy 110.67048 94.499697)
+        (xy 110.679178 94.51597)
+        (xy 110.688628 94.538783)
+        (xy 110.699954 94.57612)
+        (xy 110.729997 94.675158)
+        (xy 110.734815 94.699382)
+        (xy 110.749393 94.847389)
+        (xy 110.75 94.85974)
+        (xy 110.75 94.89026)
+        (xy 110.749393 94.902611)
+        (xy 110.734815 95.050618)
+        (xy 110.729997 95.07484)
+        (xy 110.688628 95.211217)
+        (xy 110.679179 95.234029)
+        (xy 110.611997 95.359716)
+        (xy 110.598281 95.380243)
+        (xy 110.597209 95.38155)
+        (xy 110.507872 95.490407)
+        (xy 110.490407 95.507872)
+        (xy 110.435328 95.553075)
+        (xy 110.380243 95.598281)
+        (xy 110.359716 95.611997)
+        (xy 110.246168 95.67269)
+        (xy 110.23403 95.679178)
+        (xy 110.211217 95.688628)
+        (xy 110.07484 95.729997)
+        (xy 110.050618 95.734815)
+        (xy 109.943407 95.745375)
+        (xy 109.902609 95.749393)
+        (xy 109.89026 95.75)
+        (xy 109 95.75)
+        (xy 108.519548 96.230452)
+        (xy 108.510386 96.238757)
+        (xy 108.433786 96.301621)
+        (xy 108.387565 96.339553)
+        (xy 108.367034 96.353271)
+        (xy 108.232396 96.425238)
+        (xy 108.209581 96.434688)
+        (xy 108.132568 96.458049)
+        (xy 108.069846 96.459075)
+        (xy 108.069754 96.459772)
+        (xy 107.925 96.440715)
+        (xy 107.916812 96.441793)
+        (xy 107.788432 96.458694)
+        (xy 107.78843 96.458695)
+        (xy 107.780246 96.459772)
+        (xy 107.772618 96.462932)
+        (xy 107.772613 96.462933)
+        (xy 107.712787 96.487714)
+        (xy 107.648123 96.496228)
+        (xy 107.582764 96.487623)
+        (xy 107.550994 96.47911)
+        (xy 107.478712 96.44917)
+        (xy 107.450226 96.432723)
+        (xy 107.38816 96.385098)
+        (xy 107.364902 96.36184)
+        (xy 107.317277 96.299774)
+        (xy 107.30083 96.271288)
+        (xy 107.27089 96.199006)
+        (xy 107.262377 96.167235)
+        (xy 107.251078 96.081412)
+        (xy 107.25 96.064965)
+        (xy 107.25 95.5)
+        (xy 107 95.25)
+        (xy 104 95.25)
+        (xy 103.75 95.5)
+        (xy 103.75 96.064965)
+        (xy 103.748922 96.081412)
+        (xy 103.737623 96.167235)
+        (xy 103.72911 96.199006)
+        (xy 103.69917 96.271288)
+        (xy 103.682723 96.299774)
+        (xy 103.635098 96.36184)
+        (xy 103.61184 96.385098)
+        (xy 103.549774 96.432723)
+        (xy 103.521288 96.44917)
+        (xy 103.449006 96.47911)
+        (xy 103.417235 96.487623)
+        (xy 103.365625 96.494418)
+        (xy 103.331411 96.498922)
+        (xy 103.314965 96.5)
+        (xy 102.5 96.5)
+        (xy 102.019548 96.980452)
+        (xy 102.010386 96.988757)
+        (xy 101.887565 97.089553)
+        (xy 101.867028 97.103275)
+        (xy 101.732401 97.175235)
+        (xy 101.709581 97.184687)
+        (xy 101.563494 97.229002)
+        (xy 101.539271 97.23382)
+        (xy 101.387346 97.248784)
+        (xy 101.362654 97.248784)
+        (xy 101.210729 97.23382)
+        (xy 101.186506 97.229002)
+        (xy 101.040419 97.184687)
+        (xy 101.017599 97.175235)
+        (xy 100.882972 97.103275)
+        (xy 100.862435 97.089553)
+        (xy 100.739614 96.988757)
+        (xy 100.730452 96.980452)
+        (xy 100.519548 96.769548)
+        (xy 100.511243 96.760386)
+        (xy 100.439082 96.672457)
+        (xy 100.410447 96.637564)
+        (xy 100.396725 96.617028)
+        (xy 100.324765 96.482401)
+        (xy 100.315313 96.459581)
+        (xy 100.270998 96.313494)
+        (xy 100.266179 96.289268)
+        (xy 100.251216 96.137346)
+        (xy 100.251216 96.11265)
+        (xy 100.2551 96.073223)
+        (xy 100.26618 95.960729)
+        (xy 100.270998 95.936506)
+        (xy 100.315313 95.790419)
+        (xy 100.324765 95.767599)
+        (xy 100.360745 95.700285)
+        (xy 100.396726 95.632971)
+        (xy 100.410447 95.612435)
+        (xy 100.511243 95.489614)
+        (xy 100.519548 95.480452)
+        (xy 101.202725 94.797275)
+        (xy 101.211886 94.788971)
+        (xy 101.34905 94.676403)
+        (xy 101.369588 94.66268)
+        (xy 101.520584 94.581971)
+        (xy 101.543404 94.572518)
+        (xy 101.707256 94.522815)
+        (xy 101.731473 94.517999)
+        (xy 101.908051 94.500607)
+        (xy 101.920401 94.5)
+        (xy 103.75 94.5)
+        (xy 105.452725 92.797275)
+        (xy 105.461886 92.788971)
+        (xy 105.59905 92.676403)
+        (xy 105.619588 92.66268)
+        (xy 105.770584 92.581971)
+        (xy 105.793404 92.572518)
+        (xy 105.957256 92.522815)
+        (xy 105.981473 92.517999)
+        (xy 106.158051 92.500607)
+        (xy 106.170401 92.5)
+        (xy 107.564965 92.5)
+      )
+    )
+  )
+  (zone (net 4) (net_name "GNDA") (layer "In1.Cu") (tstamp 713797ed-d99b-42af-a944-f462e1104d67) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 110.25 91.25)
+        (xy 124.25 91.25)
+        (xy 124.25 95.75)
+        (xy 125 96.5)
+        (xy 125 108)
+        (xy 96.5 108)
+        (xy 96.5 87.5)
+        (xy 103.5 87.5)
+        (xy 105.25 85.75)
+        (xy 110.25 85.75)
+      )
+    )
+    (filled_polygon
+      (layer "In1.Cu")
+      (pts
+        (xy 109.254843 85.750477)
+        (xy 109.435388 85.768259)
+        (xy 109.454418 85.772045)
+        (xy 109.527017 85.794067)
+        (xy 109.623353 85.82329)
+        (xy 109.641283 85.830717)
+        (xy 109.796969 85.913933)
+        (xy 109.813106 85.924715)
+        (xy 109.949573 86.03671)
+        (xy 109.96329 86.050427)
+        (xy 110.019698 86.11916)
+        (xy 110.075285 86.186894)
+        (xy 110.086067 86.203031)
+        (xy 110.169283 86.358717)
+        (xy 110.17671 86.376647)
+        (xy 110.227955 86.54558)
+        (xy 110.231741 86.564614)
+        (xy 110.249523 86.745157)
+        (xy 110.25 86.754861)
+        (xy 110.25 91.25)
+        (xy 123.245139 91.25)
+        (xy 123.254843 91.250477)
+        (xy 123.435388 91.268259)
+        (xy 123.454418 91.272045)
+        (xy 123.538886 91.297667)
+        (xy 123.623353 91.32329)
+        (xy 123.641283 91.330717)
+        (xy 123.796969 91.413933)
+        (xy 123.813106 91.424715)
+        (xy 123.949573 91.53671)
+        (xy 123.96329 91.550427)
+        (xy 124.030102 91.631837)
+        (xy 124.075285 91.686894)
+        (xy 124.086067 91.703031)
+        (xy 124.169283 91.858717)
+        (xy 124.17671 91.876647)
+        (xy 124.227955 92.04558)
+        (xy 124.231741 92.064614)
+        (xy 124.249523 92.245157)
+        (xy 124.25 92.254861)
+        (xy 124.25 95.75)
+        (xy 124.703672 96.203672)
+        (xy 124.710196 96.210871)
+        (xy 124.825285 96.351107)
+        (xy 124.836067 96.367243)
+        (xy 124.843877 96.381854)
+        (xy 124.854634 96.442086)
+        (xy 124.827932 96.497138)
+        (xy 124.773972 96.525981)
+        (xy 124.728201 96.523372)
+        (xy 124.6565 96.501929)
+        (xy 124.649739 96.499907)
+        (xy 124.566497 96.499398)
+        (xy 124.513427 96.499074)
+        (xy 124.513426 96.499074)
+        (xy 124.506376 96.499031)
+        (xy 124.499599 96.500968)
+        (xy 124.499598 96.500968)
+        (xy 124.375309 96.53649)
+        (xy 124.375307 96.536491)
+        (xy 124.368529 96.538428)
+        (xy 124.24728 96.61493)
+        (xy 124.242613 96.620214)
+        (xy 124.242611 96.620216)
+        (xy 124.157044 96.717103)
+        (xy 124.157042 96.717105)
+        (xy 124.152377 96.722388)
+        (xy 124.091447 96.852163)
+        (xy 124.069391 96.993823)
+        (xy 124.070306 97.00082)
+        (xy 124.070306 97.000821)
+        (xy 124.071814 97.012354)
+        (xy 124.08798 97.135979)
+        (xy 124.090821 97.142435)
+        (xy 124.090821 97.142436)
+        (xy 124.131542 97.23498)
+        (xy 124.14572 97.267203)
+        (xy 124.158792 97.282754)
+        (xy 124.233431 97.371549)
+        (xy 124.233434 97.371551)
+        (xy 124.23797 97.376948)
+        (xy 124.243841 97.380856)
+        (xy 124.243842 97.380857)
+        (xy 124.248286 97.383815)
+        (xy 124.357313 97.45639)
+        (xy 124.433443 97.480174)
+        (xy 124.487425 97.497039)
+        (xy 124.487426 97.497039)
+        (xy 124.494157 97.499142)
+        (xy 124.565828 97.500456)
+        (xy 124.630445 97.501641)
+        (xy 124.630447 97.501641)
+        (xy 124.637499 97.50177)
+        (xy 124.644302 97.499915)
+        (xy 124.644304 97.499915)
+        (xy 124.719503 97.479413)
+        (xy 124.775817 97.46406)
+        (xy 124.8492 97.419003)
+        (xy 124.908681 97.404667)
+        (xy 124.965229 97.428033)
+        (xy 124.997244 97.480174)
+        (xy 125 97.503369)
+        (xy 125 106.995139)
+        (xy 124.999523 107.004843)
+        (xy 124.985942 107.142737)
+        (xy 124.981741 107.185386)
+        (xy 124.977955 107.20442)
+        (xy 124.92671 107.373353)
+        (xy 124.919283 107.391283)
+        (xy 124.836067 107.546969)
+        (xy 124.825285 107.563106)
+        (xy 124.71329 107.699573)
+        (xy 124.699573 107.71329)
+        (xy 124.67372 107.734507)
+        (xy 124.621297 107.777529)
+        (xy 124.558493 107.8)
+        (xy 97.53396 107.8)
+        (xy 97.511773 107.797482)
+        (xy 97.500358 107.794857)
+        (xy 97.489484 107.797318)
+        (xy 97.478335 107.797298)
+        (xy 97.478337 107.796284)
+        (xy 97.468476 107.796895)
+        (xy 97.412462 107.791378)
+        (xy 97.35363 107.785584)
+        (xy 97.3346 107.781798)
+        (xy 97.268891 107.761866)
+        (xy 97.203183 107.741934)
+        (xy 97.185255 107.734508)
+        (xy 97.064143 107.669772)
+        (xy 97.048009 107.658992)
+        (xy 97.022947 107.638425)
+        (xy 96.941848 107.571869)
+        (xy 96.928131 107.558152)
+        (xy 96.841008 107.451991)
+        (xy 96.830227 107.435856)
+        (xy 96.806402 107.391283)
+        (xy 96.765492 107.314745)
+        (xy 96.758066 107.296817)
+        (xy 96.731273 107.208492)
+        (xy 96.718202 107.1654)
+        (xy 96.714416 107.146368)
+        (xy 96.703103 107.031501)
+        (xy 96.702626 107.021629)
+        (xy 96.702644 107.011225)
+        (xy 96.705143 107.000358)
+        (xy 96.702441 106.988417)
+        (xy 96.7 106.966568)
+        (xy 96.7 106.743823)
+        (xy 111.494391 106.743823)
+        (xy 111.495306 106.75082)
+        (xy 111.495306 106.750821)
+        (xy 111.496814 106.762354)
+        (xy 111.51298 106.885979)
+        (xy 111.515821 106.892435)
+        (xy 111.515821 106.892436)
+        (xy 111.561012 106.995139)
+        (xy 111.57072 107.017203)
+        (xy 111.582744 107.031507)
+        (xy 111.658431 107.121549)
+        (xy 111.658434 107.121551)
+        (xy 111.66297 107.126948)
+        (xy 111.668841 107.130856)
+        (xy 111.668842 107.130857)
+        (xy 111.681143 107.139045)
+        (xy 111.782313 107.20639)
+        (xy 111.88292 107.237821)
+        (xy 111.912425 107.247039)
+        (xy 111.912426 107.247039)
+        (xy 111.919157 107.249142)
+        (xy 111.990828 107.250456)
+        (xy 112.055445 107.251641)
+        (xy 112.055447 107.251641)
+        (xy 112.062499 107.25177)
+        (xy 112.069302 107.249915)
+        (xy 112.069304 107.249915)
+        (xy 112.144503 107.229413)
+        (xy 112.200817 107.21406)
+        (xy 112.322991 107.139045)
+        (xy 112.330403 107.130857)
+        (xy 112.414468 107.037982)
+        (xy 112.4192 107.032754)
+        (xy 112.48171 106.903733)
+        (xy 112.485875 106.878982)
+        (xy 112.504862 106.766124)
+        (xy 112.504862 106.76612)
+        (xy 112.505496 106.762354)
+        (xy 112.505647 106.75)
+        (xy 112.504762 106.743823)
+        (xy 117.494391 106.743823)
+        (xy 117.495306 106.75082)
+        (xy 117.495306 106.750821)
+        (xy 117.496814 106.762354)
+        (xy 117.51298 106.885979)
+        (xy 117.515821 106.892435)
+        (xy 117.515821 106.892436)
+        (xy 117.561012 106.995139)
+        (xy 117.57072 107.017203)
+        (xy 117.582744 107.031507)
+        (xy 117.658431 107.121549)
+        (xy 117.658434 107.121551)
+        (xy 117.66297 107.126948)
+        (xy 117.668841 107.130856)
+        (xy 117.668842 107.130857)
+        (xy 117.681143 107.139045)
+        (xy 117.782313 107.20639)
+        (xy 117.88292 107.237821)
+        (xy 117.912425 107.247039)
+        (xy 117.912426 107.247039)
+        (xy 117.919157 107.249142)
+        (xy 117.990828 107.250456)
+        (xy 118.055445 107.251641)
+        (xy 118.055447 107.251641)
+        (xy 118.062499 107.25177)
+        (xy 118.069302 107.249915)
+        (xy 118.069304 107.249915)
+        (xy 118.144503 107.229413)
+        (xy 118.200817 107.21406)
+        (xy 118.322991 107.139045)
+        (xy 118.330403 107.130857)
+        (xy 118.414468 107.037982)
+        (xy 118.4192 107.032754)
+        (xy 118.48171 106.903733)
+        (xy 118.485875 106.878982)
+        (xy 118.504862 106.766124)
+        (xy 118.504862 106.76612)
+        (xy 118.505496 106.762354)
+        (xy 118.505647 106.75)
+        (xy 118.504762 106.743823)
+        (xy 123.494391 106.743823)
+        (xy 123.495306 106.75082)
+        (xy 123.495306 106.750821)
+        (xy 123.496814 106.762354)
+        (xy 123.51298 106.885979)
+        (xy 123.515821 106.892435)
+        (xy 123.515821 106.892436)
+        (xy 123.561012 106.995139)
+        (xy 123.57072 107.017203)
+        (xy 123.582744 107.031507)
+        (xy 123.658431 107.121549)
+        (xy 123.658434 107.121551)
+        (xy 123.66297 107.126948)
+        (xy 123.668841 107.130856)
+        (xy 123.668842 107.130857)
+        (xy 123.681143 107.139045)
+        (xy 123.782313 107.20639)
+        (xy 123.88292 107.237821)
+        (xy 123.912425 107.247039)
+        (xy 123.912426 107.247039)
+        (xy 123.919157 107.249142)
+        (xy 123.990828 107.250456)
+        (xy 124.055445 107.251641)
+        (xy 124.055447 107.251641)
+        (xy 124.062499 107.25177)
+        (xy 124.069302 107.249915)
+        (xy 124.069304 107.249915)
+        (xy 124.144503 107.229413)
+        (xy 124.200817 107.21406)
+        (xy 124.322991 107.139045)
+        (xy 124.330403 107.130857)
+        (xy 124.414468 107.037982)
+        (xy 124.4192 107.032754)
+        (xy 124.48171 106.903733)
+        (xy 124.485875 106.878982)
+        (xy 124.504862 106.766124)
+        (xy 124.504862 106.76612)
+        (xy 124.505496 106.762354)
+        (xy 124.505647 106.75)
+        (xy 124.485323 106.608082)
+        (xy 124.425984 106.477572)
+        (xy 124.3324 106.368963)
+        (xy 124.212095 106.290985)
+        (xy 124.074739 106.249907)
+        (xy 123.991497 106.249398)
+        (xy 123.938427 106.249074)
+        (xy 123.938426 106.249074)
+        (xy 123.931376 106.249031)
+        (xy 123.924599 106.250968)
+        (xy 123.924598 106.250968)
+        (xy 123.800309 106.28649)
+        (xy 123.800307 106.286491)
+        (xy 123.793529 106.288428)
+        (xy 123.67228 106.36493)
+        (xy 123.667613 106.370214)
+        (xy 123.667611 106.370216)
+        (xy 123.582044 106.467103)
+        (xy 123.582042 106.467105)
+        (xy 123.577377 106.472388)
+        (xy 123.516447 106.602163)
+        (xy 123.494391 106.743823)
+        (xy 118.504762 106.743823)
+        (xy 118.485323 106.608082)
+        (xy 118.425984 106.477572)
+        (xy 118.3324 106.368963)
+        (xy 118.212095 106.290985)
+        (xy 118.074739 106.249907)
+        (xy 117.991497 106.249398)
+        (xy 117.938427 106.249074)
+        (xy 117.938426 106.249074)
+        (xy 117.931376 106.249031)
+        (xy 117.924599 106.250968)
+        (xy 117.924598 106.250968)
+        (xy 117.800309 106.28649)
+        (xy 117.800307 106.286491)
+        (xy 117.793529 106.288428)
+        (xy 117.67228 106.36493)
+        (xy 117.667613 106.370214)
+        (xy 117.667611 106.370216)
+        (xy 117.582044 106.467103)
+        (xy 117.582042 106.467105)
+        (xy 117.577377 106.472388)
+        (xy 117.516447 106.602163)
+        (xy 117.494391 106.743823)
+        (xy 112.504762 106.743823)
+        (xy 112.485323 106.608082)
+        (xy 112.425984 106.477572)
+        (xy 112.3324 106.368963)
+        (xy 112.212095 106.290985)
+        (xy 112.074739 106.249907)
+        (xy 111.991497 106.249398)
+        (xy 111.938427 106.249074)
+        (xy 111.938426 106.249074)
+        (xy 111.931376 106.249031)
+        (xy 111.924599 106.250968)
+        (xy 111.924598 106.250968)
+        (xy 111.800309 106.28649)
+        (xy 111.800307 106.286491)
+        (xy 111.793529 106.288428)
+        (xy 111.67228 106.36493)
+        (xy 111.667613 106.370214)
+        (xy 111.667611 106.370216)
+        (xy 111.582044 106.467103)
+        (xy 111.582042 106.467105)
+        (xy 111.577377 106.472388)
+        (xy 111.516447 106.602163)
+        (xy 111.494391 106.743823)
+        (xy 96.7 106.743823)
+        (xy 96.7 103.785262)
+        (xy 97.69452 103.785262)
+        (xy 97.711759 103.990553)
+        (xy 97.768544 104.188586)
+        (xy 97.862712 104.371818)
+        (xy 97.990677 104.53327)
+        (xy 97.994357 104.536402)
+        (xy 97.994359 104.536404)
+        (xy 98.107017 104.632283)
+        (xy 98.147564 104.666791)
+        (xy 98.151787 104.669151)
+        (xy 98.151791 104.669154)
+        (xy 98.191342 104.691258)
+        (xy 98.327398 104.767297)
+        (xy 98.331996 104.768791)
+        (xy 98.518724 104.829463)
+        (xy 98.518726 104.829464)
+        (xy 98.523329 104.830959)
+        (xy 98.727894 104.855351)
+        (xy 98.732716 104.85498)
+        (xy 98.732719 104.85498)
+        (xy 98.803259 104.849552)
+        (xy 98.9333 104.839546)
+        (xy 99.131725 104.784145)
+        (xy 99.136038 104.781966)
+        (xy 99.136044 104.781964)
+        (xy 99.311289 104.693441)
+        (xy 99.311291 104.69344)
+        (xy 99.31561 104.691258)
+        (xy 99.343142 104.669748)
+        (xy 100.2395 104.669748)
+        (xy 100.251133 104.728231)
+        (xy 100.295448 104.794552)
+        (xy 100.361769 104.838867)
+        (xy 100.371332 104.840769)
+        (xy 100.371334 104.84077)
+        (xy 100.394005 104.845279)
+        (xy 100.420252 104.8505)
+        (xy 102.159748 104.8505)
+        (xy 102.185995 104.845279)
+        (xy 102.208666 104.84077)
+        (xy 102.208668 104.840769)
+        (xy 102.218231 104.838867)
+        (xy 102.284552 104.794552)
+        (xy 102.328867 104.728231)
+        (xy 102.3405 104.669748)
+        (xy 102.3405 102.930252)
+        (xy 102.328867 102.871769)
+        (xy 102.284552 102.805448)
+        (xy 102.218231 102.761133)
+        (xy 102.208668 102.759231)
+        (xy 102.208666 102.75923)
+        (xy 102.185995 102.754721)
+        (xy 102.159748 102.7495)
+        (xy 100.420252 102.7495)
+        (xy 100.394005 102.754721)
+        (xy 100.371334 102.75923)
+        (xy 100.371332 102.759231)
+        (xy 100.361769 102.761133)
+        (xy 100.295448 102.805448)
+        (xy 100.251133 102.871769)
+        (xy 100.2395 102.930252)
+        (xy 100.2395 104.669748)
+        (xy 99.343142 104.669748)
+        (xy 99.350943 104.663653)
+        (xy 99.474135 104.567406)
+        (xy 99.474139 104.567402)
+        (xy 99.477951 104.564424)
+        (xy 99.612564 104.408472)
+        (xy 99.631231 104.375613)
+        (xy 99.711934 104.23355)
+        (xy 99.711935 104.233547)
+        (xy 99.714323 104.229344)
+        (xy 99.727882 104.188586)
+        (xy 99.777824 104.038454)
+        (xy 99.777824 104.038452)
+        (xy 99.779351 104.033863)
+        (xy 99.805171 103.829474)
+        (xy 99.805583 103.8)
+        (xy 99.78548 103.59497)
+        (xy 99.725935 103.397749)
+        (xy 99.629218 103.215849)
+        (xy 99.499011 103.0562)
+        (xy 99.352639 102.93511)
+        (xy 99.344002 102.927965)
+        (xy 99.344 102.927964)
+        (xy 99.340275 102.924882)
+        (xy 99.159055 102.826897)
+        (xy 99.089764 102.805448)
+        (xy 98.966875 102.767407)
+        (xy 98.966871 102.767406)
+        (xy 98.962254 102.765977)
+        (xy 98.957446 102.765472)
+        (xy 98.957443 102.765471)
+        (xy 98.762185 102.744949)
+        (xy 98.762183 102.744949)
+        (xy 98.757369 102.744443)
+        (xy 98.7018 102.7495)
+        (xy 98.557022 102.762675)
+        (xy 98.557017 102.762676)
+        (xy 98.552203 102.763114)
+        (xy 98.354572 102.82128)
+        (xy 98.350288 102.823519)
+        (xy 98.350287 102.82352)
+        (xy 98.339428 102.829197)
+        (xy 98.172002 102.916726)
+        (xy 98.168231 102.919758)
+        (xy 98.01522 103.042781)
+        (xy 98.015217 103.042783)
+        (xy 98.011447 103.045815)
+        (xy 98.008333 103.049526)
+        (xy 98.008332 103.049527)
+        (xy 97.999585 103.059952)
+        (xy 97.879024 103.20363)
+        (xy 97.876689 103.207878)
+        (xy 97.876688 103.207879)
+        (xy 97.869955 103.220126)
+        (xy 97.779776 103.384162)
+        (xy 97.717484 103.580532)
+        (xy 97.716944 103.585344)
+        (xy 97.716944 103.585345)
+        (xy 97.715865 103.59497)
+        (xy 97.69452 103.785262)
+        (xy 96.7 103.785262)
+        (xy 96.7 101.245262)
+        (xy 97.69452 101.245262)
+        (xy 97.711759 101.450553)
+        (xy 97.768544 101.648586)
+        (xy 97.862712 101.831818)
+        (xy 97.990677 101.99327)
+        (xy 97.994357 101.996402)
+        (xy 97.994359 101.996404)
+        (xy 98.107017 102.092283)
+        (xy 98.147564 102.126791)
+        (xy 98.151787 102.129151)
+        (xy 98.151791 102.129154)
+        (xy 98.191342 102.151258)
+        (xy 98.327398 102.227297)
+        (xy 98.331996 102.228791)
+        (xy 98.518724 102.289463)
+        (xy 98.518726 102.289464)
+        (xy 98.523329 102.290959)
+        (xy 98.727894 102.315351)
+        (xy 98.732716 102.31498)
+        (xy 98.732719 102.31498)
+        (xy 98.800541 102.309761)
+        (xy 98.9333 102.299546)
+        (xy 99.131725 102.244145)
+        (xy 99.136038 102.241966)
+        (xy 99.136044 102.241964)
+        (xy 99.311289 102.153441)
+        (xy 99.311291 102.15344)
+        (xy 99.31561 102.151258)
+        (xy 99.350943 102.123653)
+        (xy 99.474135 102.027406)
+        (xy 99.474139 102.027402)
+        (xy 99.477951 102.024424)
+        (xy 99.612564 101.868472)
+        (xy 99.631231 101.835613)
+        (xy 99.711934 101.69355)
+        (xy 99.711935 101.693547)
+        (xy 99.714323 101.689344)
+        (xy 99.727882 101.648586)
+        (xy 99.777824 101.498454)
+        (xy 99.777824 101.498452)
+        (xy 99.779351 101.493863)
+        (xy 99.805171 101.289474)
+        (xy 99.805583 101.26)
+        (xy 99.804138 101.245262)
+        (xy 100.23452 101.245262)
+        (xy 100.251759 101.450553)
+        (xy 100.308544 101.648586)
+        (xy 100.402712 101.831818)
+        (xy 100.530677 101.99327)
+        (xy 100.534357 101.996402)
+        (xy 100.534359 101.996404)
+        (xy 100.647017 102.092283)
+        (xy 100.687564 102.126791)
+        (xy 100.691787 102.129151)
+        (xy 100.691791 102.129154)
+        (xy 100.731342 102.151258)
+        (xy 100.867398 102.227297)
+        (xy 100.871996 102.228791)
+        (xy 101.058724 102.289463)
+        (xy 101.058726 102.289464)
+        (xy 101.063329 102.290959)
+        (xy 101.267894 102.315351)
+        (xy 101.272716 102.31498)
+        (xy 101.272719 102.31498)
+        (xy 101.340541 102.309761)
+        (xy 101.4733 102.299546)
+        (xy 101.671725 102.244145)
+        (xy 101.676038 102.241966)
+        (xy 101.676044 102.241964)
+        (xy 101.851289 102.153441)
+        (xy 101.851291 102.15344)
+        (xy 101.85561 102.151258)
+        (xy 101.890943 102.123653)
+        (xy 102.014135 102.027406)
+        (xy 102.014139 102.027402)
+        (xy 102.017951 102.024424)
+        (xy 102.152564 101.868472)
+        (xy 102.171231 101.835613)
+        (xy 102.251934 101.69355)
+        (xy 102.251935 101.693547)
+        (xy 102.254323 101.689344)
+        (xy 102.267882 101.648586)
+        (xy 102.317824 101.498454)
+        (xy 102.317824 101.498452)
+        (xy 102.319351 101.493863)
+        (xy 102.345171 101.289474)
+        (xy 102.345583 101.26)
+        (xy 102.32548 101.05497)
+        (xy 102.265935 100.857749)
+        (xy 102.169218 100.675849)
+        (xy 102.039011 100.5162)
+        (xy 101.880275 100.384882)
+        (xy 101.699055 100.286897)
+        (xy 101.635855 100.267333)
+        (xy 101.506875 100.227407)
+        (xy 101.506871 100.227406)
+        (xy 101.502254 100.225977)
+        (xy 101.497446 100.225472)
+        (xy 101.497443 100.225471)
+        (xy 101.302185 100.204949)
+        (xy 101.302183 100.204949)
+        (xy 101.297369 100.204443)
+        (xy 101.237354 100.209905)
+        (xy 101.097022 100.222675)
+        (xy 101.097017 100.222676)
+        (xy 101.092203 100.223114)
+        (xy 100.894572 100.28128)
+        (xy 100.890288 100.283519)
+        (xy 100.890287 100.28352)
+        (xy 100.879428 100.289197)
+        (xy 100.712002 100.376726)
+        (xy 100.708231 100.379758)
+        (xy 100.55522 100.502781)
+        (xy 100.555217 100.502783)
+        (xy 100.551447 100.505815)
+        (xy 100.548333 100.509526)
+        (xy 100.548332 100.509527)
+        (xy 100.539585 100.519952)
+        (xy 100.419024 100.66363)
+        (xy 100.416689 100.667878)
+        (xy 100.416688 100.667879)
+        (xy 100.409955 100.680126)
+        (xy 100.319776 100.844162)
+        (xy 100.257484 101.040532)
+        (xy 100.256944 101.045344)
+        (xy 100.256944 101.045345)
+        (xy 100.255865 101.05497)
+        (xy 100.23452 101.245262)
+        (xy 99.804138 101.245262)
+        (xy 99.78548 101.05497)
+        (xy 99.725935 100.857749)
+        (xy 99.629218 100.675849)
+        (xy 99.499011 100.5162)
+        (xy 99.340275 100.384882)
+        (xy 99.159055 100.286897)
+        (xy 99.095855 100.267333)
+        (xy 98.966875 100.227407)
+        (xy 98.966871 100.227406)
+        (xy 98.962254 100.225977)
+        (xy 98.957446 100.225472)
+        (xy 98.957443 100.225471)
+        (xy 98.762185 100.204949)
+        (xy 98.762183 100.204949)
+        (xy 98.757369 100.204443)
+        (xy 98.697354 100.209905)
+        (xy 98.557022 100.222675)
+        (xy 98.557017 100.222676)
+        (xy 98.552203 100.223114)
+        (xy 98.354572 100.28128)
+        (xy 98.350288 100.283519)
+        (xy 98.350287 100.28352)
+        (xy 98.339428 100.289197)
+        (xy 98.172002 100.376726)
+        (xy 98.168231 100.379758)
+        (xy 98.01522 100.502781)
+        (xy 98.015217 100.502783)
+        (xy 98.011447 100.505815)
+        (xy 98.008333 100.509526)
+        (xy 98.008332 100.509527)
+        (xy 97.999585 100.519952)
+        (xy 97.879024 100.66363)
+        (xy 97.876689 100.667878)
+        (xy 97.876688 100.667879)
+        (xy 97.869955 100.680126)
+        (xy 97.779776 100.844162)
+        (xy 97.717484 101.040532)
+        (xy 97.716944 101.045344)
+        (xy 97.716944 101.045345)
+        (xy 97.715865 101.05497)
+        (xy 97.69452 101.245262)
+        (xy 96.7 101.245262)
+        (xy 96.7 98.705262)
+        (xy 97.69452 98.705262)
+        (xy 97.711759 98.910553)
+        (xy 97.768544 99.108586)
+        (xy 97.862712 99.291818)
+        (xy 97.990677 99.45327)
+        (xy 97.994357 99.456402)
+        (xy 97.994359 99.456404)
+        (xy 98.107017 99.552283)
+        (xy 98.147564 99.586791)
+        (xy 98.151787 99.589151)
+        (xy 98.151791 99.589154)
+        (xy 98.191342 99.611258)
+        (xy 98.327398 99.687297)
+        (xy 98.331996 99.688791)
+        (xy 98.518724 99.749463)
+        (xy 98.518726 99.749464)
+        (xy 98.523329 99.750959)
+        (xy 98.727894 99.775351)
+        (xy 98.732716 99.77498)
+        (xy 98.732719 99.77498)
+        (xy 98.800541 99.769761)
+        (xy 98.9333 99.759546)
+        (xy 99.131725 99.704145)
+        (xy 99.136038 99.701966)
+        (xy 99.136044 99.701964)
+        (xy 99.311289 99.613441)
+        (xy 99.311291 99.61344)
+        (xy 99.31561 99.611258)
+        (xy 99.350943 99.583653)
+        (xy 99.474135 99.487406)
+        (xy 99.474139 99.487402)
+        (xy 99.477951 99.484424)
+        (xy 99.612564 99.328472)
+        (xy 99.631231 99.295613)
+        (xy 99.711934 99.15355)
+        (xy 99.711935 99.153547)
+        (xy 99.714323 99.149344)
+        (xy 99.727882 99.108586)
+        (xy 99.777824 98.958454)
+        (xy 99.777824 98.958452)
+        (xy 99.779351 98.953863)
+        (xy 99.805171 98.749474)
+        (xy 99.805583 98.72)
+        (xy 99.804138 98.705262)
+        (xy 100.23452 98.705262)
+        (xy 100.251759 98.910553)
+        (xy 100.308544 99.108586)
+        (xy 100.402712 99.291818)
+        (xy 100.530677 99.45327)
+        (xy 100.534357 99.456402)
+        (xy 100.534359 99.456404)
+        (xy 100.647017 99.552283)
+        (xy 100.687564 99.586791)
+        (xy 100.691787 99.589151)
+        (xy 100.691791 99.589154)
+        (xy 100.731342 99.611258)
+        (xy 100.867398 99.687297)
+        (xy 100.871996 99.688791)
+        (xy 101.058724 99.749463)
+        (xy 101.058726 99.749464)
+        (xy 101.063329 99.750959)
+        (xy 101.267894 99.775351)
+        (xy 101.272716 99.77498)
+        (xy 101.272719 99.77498)
+        (xy 101.340541 99.769761)
+        (xy 101.4733 99.759546)
+        (xy 101.671725 99.704145)
+        (xy 101.676038 99.701966)
+        (xy 101.676044 99.701964)
+        (xy 101.851289 99.613441)
+        (xy 101.851291 99.61344)
+        (xy 101.85561 99.611258)
+        (xy 101.890943 99.583653)
+        (xy 102.014135 99.487406)
+        (xy 102.014139 99.487402)
+        (xy 102.017951 99.484424)
+        (xy 102.152564 99.328472)
+        (xy 102.171231 99.295613)
+        (xy 102.251934 99.15355)
+        (xy 102.251935 99.153547)
+        (xy 102.254323 99.149344)
+        (xy 102.267882 99.108586)
+        (xy 102.317824 98.958454)
+        (xy 102.317824 98.958452)
+        (xy 102.319351 98.953863)
+        (xy 102.345171 98.749474)
+        (xy 102.345583 98.72)
+        (xy 102.32548 98.51497)
+        (xy 102.265935 98.317749)
+        (xy 102.169218 98.135849)
+        (xy 102.039011 97.9762)
+        (xy 101.880275 97.844882)
+        (xy 101.699055 97.746897)
+        (xy 101.635855 97.727333)
+        (xy 101.506875 97.687407)
+        (xy 101.506871 97.687406)
+        (xy 101.502254 97.685977)
+        (xy 101.497446 97.685472)
+        (xy 101.497443 97.685471)
+        (xy 101.302185 97.664949)
+        (xy 101.302183 97.664949)
+        (xy 101.297369 97.664443)
+        (xy 101.237354 97.669905)
+        (xy 101.097022 97.682675)
+        (xy 101.097017 97.682676)
+        (xy 101.092203 97.683114)
+        (xy 100.894572 97.74128)
+        (xy 100.890288 97.743519)
+        (xy 100.890287 97.74352)
+        (xy 100.879428 97.749197)
+        (xy 100.712002 97.836726)
+        (xy 100.708231 97.839758)
+        (xy 100.55522 97.962781)
+        (xy 100.555217 97.962783)
+        (xy 100.551447 97.965815)
+        (xy 100.548333 97.969526)
+        (xy 100.548332 97.969527)
+        (xy 100.539585 97.979952)
+        (xy 100.419024 98.12363)
+        (xy 100.416689 98.127878)
+        (xy 100.416688 98.127879)
+        (xy 100.409955 98.140126)
+        (xy 100.319776 98.304162)
+        (xy 100.257484 98.500532)
+        (xy 100.256944 98.505344)
+        (xy 100.256944 98.505345)
+        (xy 100.255865 98.51497)
+        (xy 100.23452 98.705262)
+        (xy 99.804138 98.705262)
+        (xy 99.78548 98.51497)
+        (xy 99.725935 98.317749)
+        (xy 99.629218 98.135849)
+        (xy 99.499011 97.9762)
+        (xy 99.340275 97.844882)
+        (xy 99.159055 97.746897)
+        (xy 99.095855 97.727333)
+        (xy 98.966875 97.687407)
+        (xy 98.966871 97.687406)
+        (xy 98.962254 97.685977)
+        (xy 98.957446 97.685472)
+        (xy 98.957443 97.685471)
+        (xy 98.762185 97.664949)
+        (xy 98.762183 97.664949)
+        (xy 98.757369 97.664443)
+        (xy 98.697354 97.669905)
+        (xy 98.557022 97.682675)
+        (xy 98.557017 97.682676)
+        (xy 98.552203 97.683114)
+        (xy 98.354572 97.74128)
+        (xy 98.350288 97.743519)
+        (xy 98.350287 97.74352)
+        (xy 98.339428 97.749197)
+        (xy 98.172002 97.836726)
+        (xy 98.168231 97.839758)
+        (xy 98.01522 97.962781)
+        (xy 98.015217 97.962783)
+        (xy 98.011447 97.965815)
+        (xy 98.008333 97.969526)
+        (xy 98.008332 97.969527)
+        (xy 97.999585 97.979952)
+        (xy 97.879024 98.12363)
+        (xy 97.876689 98.127878)
+        (xy 97.876688 98.127879)
+        (xy 97.869955 98.140126)
+        (xy 97.779776 98.304162)
+        (xy 97.717484 98.500532)
+        (xy 97.716944 98.505344)
+        (xy 97.716944 98.505345)
+        (xy 97.715865 98.51497)
+        (xy 97.69452 98.705262)
+        (xy 96.7 98.705262)
+        (xy 96.7 96.165262)
+        (xy 100.23452 96.165262)
+        (xy 100.251759 96.370553)
+        (xy 100.253092 96.375201)
+        (xy 100.253092 96.375202)
+        (xy 100.30063 96.540985)
+        (xy 100.308544 96.568586)
+        (xy 100.402712 96.751818)
+        (xy 100.530677 96.91327)
+        (xy 100.534357 96.916402)
+        (xy 100.534359 96.916404)
+        (xy 100.617132 96.986849)
+        (xy 100.687564 97.046791)
+        (xy 100.691787 97.049151)
+        (xy 100.691791 97.049154)
+        (xy 100.731342 97.071258)
+        (xy 100.867398 97.147297)
+        (xy 100.871996 97.148791)
+        (xy 101.058724 97.209463)
+        (xy 101.058726 97.209464)
+        (xy 101.063329 97.210959)
+        (xy 101.267894 97.235351)
+        (xy 101.272716 97.23498)
+        (xy 101.272719 97.23498)
+        (xy 101.340541 97.229761)
+        (xy 101.4733 97.219546)
+        (xy 101.671725 97.164145)
+        (xy 101.676038 97.161966)
+        (xy 101.676044 97.161964)
+        (xy 101.851289 97.073441)
+        (xy 101.851291 97.07344)
+        (xy 101.85561 97.071258)
+        (xy 101.926179 97.016124)
+        (xy 101.954723 96.993823)
+        (xy 108.244391 96.993823)
+        (xy 108.245306 97.00082)
+        (xy 108.245306 97.000821)
+        (xy 108.246814 97.012354)
+        (xy 108.26298 97.135979)
+        (xy 108.265821 97.142435)
+        (xy 108.265821 97.142436)
+        (xy 108.306542 97.23498)
+        (xy 108.32072 97.267203)
+        (xy 108.333792 97.282754)
+        (xy 108.408431 97.371549)
+        (xy 108.408434 97.371551)
+        (xy 108.41297 97.376948)
+        (xy 108.418841 97.380856)
+        (xy 108.418842 97.380857)
+        (xy 108.423286 97.383815)
+        (xy 108.532313 97.45639)
+        (xy 108.608443 97.480174)
+        (xy 108.662425 97.497039)
+        (xy 108.662426 97.497039)
+        (xy 108.669157 97.499142)
+        (xy 108.740828 97.500456)
+        (xy 108.805445 97.501641)
+        (xy 108.805447 97.501641)
+        (xy 108.812499 97.50177)
+        (xy 108.819302 97.499915)
+        (xy 108.819304 97.499915)
+        (xy 108.894503 97.479413)
+        (xy 108.950817 97.46406)
+        (xy 109.072991 97.389045)
+        (xy 109.087646 97.372855)
+        (xy 109.140713 97.3424)
+        (xy 109.201546 97.348954)
+        (xy 109.226995 97.368167)
+        (xy 109.228174 97.366848)
+        (xy 109.233432 97.371549)
+        (xy 109.23797 97.376948)
+        (xy 109.243841 97.380856)
+        (xy 109.243842 97.380857)
+        (xy 109.248286 97.383815)
+        (xy 109.357313 97.45639)
+        (xy 109.433443 97.480174)
+        (xy 109.487425 97.497039)
+        (xy 109.487426 97.497039)
+        (xy 109.494157 97.499142)
+        (xy 109.565828 97.500456)
+        (xy 109.630445 97.501641)
+        (xy 109.630447 97.501641)
+        (xy 109.637499 97.50177)
+        (xy 109.644302 97.499915)
+        (xy 109.644304 97.499915)
+        (xy 109.719503 97.479413)
+        (xy 109.775817 97.46406)
+        (xy 109.897991 97.389045)
+        (xy 109.905403 97.380857)
+        (xy 109.989468 97.287982)
+        (xy 109.9942 97.282754)
+        (xy 110.05671 97.153733)
+        (xy 110.060875 97.128982)
+        (xy 110.079862 97.016124)
+        (xy 110.079862 97.01612)
+        (xy 110.080496 97.012354)
+        (xy 110.080647 97)
+        (xy 110.079762 96.993823)
+        (xy 110.419391 96.993823)
+        (xy 110.420306 97.00082)
+        (xy 110.420306 97.000821)
+        (xy 110.421814 97.012354)
+        (xy 110.43798 97.135979)
+        (xy 110.440821 97.142435)
+        (xy 110.440821 97.142436)
+        (xy 110.481542 97.23498)
+        (xy 110.49572 97.267203)
+        (xy 110.508792 97.282754)
+        (xy 110.583431 97.371549)
+        (xy 110.583434 97.371551)
+        (xy 110.58797 97.376948)
+        (xy 110.593841 97.380856)
+        (xy 110.593842 97.380857)
+        (xy 110.598286 97.383815)
+        (xy 110.707313 97.45639)
+        (xy 110.783443 97.480174)
+        (xy 110.837425 97.497039)
+        (xy 110.837426 97.497039)
+        (xy 110.844157 97.499142)
+        (xy 110.915828 97.500456)
+        (xy 110.980445 97.501641)
+        (xy 110.980447 97.501641)
+        (xy 110.987499 97.50177)
+        (xy 110.994302 97.499915)
+        (xy 110.994304 97.499915)
+        (xy 111.069503 97.479413)
+        (xy 111.125817 97.46406)
+        (xy 111.247991 97.389045)
+        (xy 111.255403 97.380857)
+        (xy 111.339468 97.287982)
+        (xy 111.3442 97.282754)
+        (xy 111.40671 97.153733)
+        (xy 111.410875 97.128982)
+        (xy 111.429862 97.016124)
+        (xy 111.429862 97.01612)
+        (xy 111.430496 97.012354)
+        (xy 111.430647 97)
+        (xy 111.429762 96.993823)
+        (xy 112.069391 96.993823)
+        (xy 112.070306 97.00082)
+        (xy 112.070306 97.000821)
+        (xy 112.071814 97.012354)
+        (xy 112.08798 97.135979)
+        (xy 112.090821 97.142435)
+        (xy 112.090821 97.142436)
+        (xy 112.131542 97.23498)
+        (xy 112.14572 97.267203)
+        (xy 112.158792 97.282754)
+        (xy 112.233431 97.371549)
+        (xy 112.233434 97.371551)
+        (xy 112.23797 97.376948)
+        (xy 112.243841 97.380856)
+        (xy 112.243842 97.380857)
+        (xy 112.248286 97.383815)
+        (xy 112.357313 97.45639)
+        (xy 112.433443 97.480174)
+        (xy 112.487425 97.497039)
+        (xy 112.487426 97.497039)
+        (xy 112.494157 97.499142)
+        (xy 112.565828 97.500456)
+        (xy 112.630445 97.501641)
+        (xy 112.630447 97.501641)
+        (xy 112.637499 97.50177)
+        (xy 112.644302 97.499915)
+        (xy 112.644304 97.499915)
+        (xy 112.719503 97.479413)
+        (xy 112.775817 97.46406)
+        (xy 112.897991 97.389045)
+        (xy 112.905403 97.380857)
+        (xy 112.989468 97.287982)
+        (xy 112.9942 97.282754)
+        (xy 113.05671 97.153733)
+        (xy 113.060875 97.128982)
+        (xy 113.079862 97.016124)
+        (xy 113.079862 97.01612)
+        (xy 113.080496 97.012354)
+        (xy 113.080647 97)
+        (xy 113.079762 96.993823)
+        (xy 114.244391 96.993823)
+        (xy 114.245306 97.00082)
+        (xy 114.245306 97.000821)
+        (xy 114.246814 97.012354)
+        (xy 114.26298 97.135979)
+        (xy 114.265821 97.142435)
+        (xy 114.265821 97.142436)
+        (xy 114.306542 97.23498)
+        (xy 114.32072 97.267203)
+        (xy 114.333792 97.282754)
+        (xy 114.408431 97.371549)
+        (xy 114.408434 97.371551)
+        (xy 114.41297 97.376948)
+        (xy 114.418841 97.380856)
+        (xy 114.418842 97.380857)
+        (xy 114.423286 97.383815)
+        (xy 114.532313 97.45639)
+        (xy 114.608443 97.480174)
+        (xy 114.662425 97.497039)
+        (xy 114.662426 97.497039)
+        (xy 114.669157 97.499142)
+        (xy 114.740828 97.500456)
+        (xy 114.805445 97.501641)
+        (xy 114.805447 97.501641)
+        (xy 114.812499 97.50177)
+        (xy 114.819302 97.499915)
+        (xy 114.819304 97.499915)
+        (xy 114.894503 97.479413)
+        (xy 114.950817 97.46406)
+        (xy 115.072991 97.389045)
+        (xy 115.087646 97.372855)
+        (xy 115.140713 97.3424)
+        (xy 115.201546 97.348954)
+        (xy 115.226995 97.368167)
+        (xy 115.228174 97.366848)
+        (xy 115.233432 97.371549)
+        (xy 115.23797 97.376948)
+        (xy 115.243841 97.380856)
+        (xy 115.243842 97.380857)
+        (xy 115.248286 97.383815)
+        (xy 115.357313 97.45639)
+        (xy 115.433443 97.480174)
+        (xy 115.487425 97.497039)
+        (xy 115.487426 97.497039)
+        (xy 115.494157 97.499142)
+        (xy 115.565828 97.500456)
+        (xy 115.630445 97.501641)
+        (xy 115.630447 97.501641)
+        (xy 115.637499 97.50177)
+        (xy 115.644302 97.499915)
+        (xy 115.644304 97.499915)
+        (xy 115.719503 97.479413)
+        (xy 115.775817 97.46406)
+        (xy 115.897991 97.389045)
+        (xy 115.905403 97.380857)
+        (xy 115.989468 97.287982)
+        (xy 115.9942 97.282754)
+        (xy 116.05671 97.153733)
+        (xy 116.060875 97.128982)
+        (xy 116.079862 97.016124)
+        (xy 116.079862 97.01612)
+        (xy 116.080496 97.012354)
+        (xy 116.080647 97)
+        (xy 116.079762 96.993823)
+        (xy 116.419391 96.993823)
+        (xy 116.420306 97.00082)
+        (xy 116.420306 97.000821)
+        (xy 116.421814 97.012354)
+        (xy 116.43798 97.135979)
+        (xy 116.440821 97.142435)
+        (xy 116.440821 97.142436)
+        (xy 116.481542 97.23498)
+        (xy 116.49572 97.267203)
+        (xy 116.508792 97.282754)
+        (xy 116.583431 97.371549)
+        (xy 116.583434 97.371551)
+        (xy 116.58797 97.376948)
+        (xy 116.593841 97.380856)
+        (xy 116.593842 97.380857)
+        (xy 116.598286 97.383815)
+        (xy 116.707313 97.45639)
+        (xy 116.783443 97.480174)
+        (xy 116.837425 97.497039)
+        (xy 116.837426 97.497039)
+        (xy 116.844157 97.499142)
+        (xy 116.915828 97.500456)
+        (xy 116.980445 97.501641)
+        (xy 116.980447 97.501641)
+        (xy 116.987499 97.50177)
+        (xy 116.994302 97.499915)
+        (xy 116.994304 97.499915)
+        (xy 117.069503 97.479413)
+        (xy 117.125817 97.46406)
+        (xy 117.247991 97.389045)
+        (xy 117.255403 97.380857)
+        (xy 117.339468 97.287982)
+        (xy 117.3442 97.282754)
+        (xy 117.40671 97.153733)
+        (xy 117.410875 97.128982)
+        (xy 117.429862 97.016124)
+        (xy 117.429862 97.01612)
+        (xy 117.430496 97.012354)
+        (xy 117.430647 97)
+        (xy 117.429762 96.993823)
+        (xy 118.069391 96.993823)
+        (xy 118.070306 97.00082)
+        (xy 118.070306 97.000821)
+        (xy 118.071814 97.012354)
+        (xy 118.08798 97.135979)
+        (xy 118.090821 97.142435)
+        (xy 118.090821 97.142436)
+        (xy 118.131542 97.23498)
+        (xy 118.14572 97.267203)
+        (xy 118.158792 97.282754)
+        (xy 118.233431 97.371549)
+        (xy 118.233434 97.371551)
+        (xy 118.23797 97.376948)
+        (xy 118.243841 97.380856)
+        (xy 118.243842 97.380857)
+        (xy 118.248286 97.383815)
+        (xy 118.357313 97.45639)
+        (xy 118.433443 97.480174)
+        (xy 118.487425 97.497039)
+        (xy 118.487426 97.497039)
+        (xy 118.494157 97.499142)
+        (xy 118.565828 97.500456)
+        (xy 118.630445 97.501641)
+        (xy 118.630447 97.501641)
+        (xy 118.637499 97.50177)
+        (xy 118.644302 97.499915)
+        (xy 118.644304 97.499915)
+        (xy 118.719503 97.479413)
+        (xy 118.775817 97.46406)
+        (xy 118.897991 97.389045)
+        (xy 118.905403 97.380857)
+        (xy 118.989468 97.287982)
+        (xy 118.9942 97.282754)
+        (xy 119.05671 97.153733)
+        (xy 119.060875 97.128982)
+        (xy 119.079862 97.016124)
+        (xy 119.079862 97.01612)
+        (xy 119.080496 97.012354)
+        (xy 119.080647 97)
+        (xy 119.079762 96.993823)
+        (xy 120.244391 96.993823)
+        (xy 120.245306 97.00082)
+        (xy 120.245306 97.000821)
+        (xy 120.246814 97.012354)
+        (xy 120.26298 97.135979)
+        (xy 120.265821 97.142435)
+        (xy 120.265821 97.142436)
+        (xy 120.306542 97.23498)
+        (xy 120.32072 97.267203)
+        (xy 120.333792 97.282754)
+        (xy 120.408431 97.371549)
+        (xy 120.408434 97.371551)
+        (xy 120.41297 97.376948)
+        (xy 120.418841 97.380856)
+        (xy 120.418842 97.380857)
+        (xy 120.423286 97.383815)
+        (xy 120.532313 97.45639)
+        (xy 120.608443 97.480174)
+        (xy 120.662425 97.497039)
+        (xy 120.662426 97.497039)
+        (xy 120.669157 97.499142)
+        (xy 120.740828 97.500456)
+        (xy 120.805445 97.501641)
+        (xy 120.805447 97.501641)
+        (xy 120.812499 97.50177)
+        (xy 120.819302 97.499915)
+        (xy 120.819304 97.499915)
+        (xy 120.894503 97.479413)
+        (xy 120.950817 97.46406)
+        (xy 121.072991 97.389045)
+        (xy 121.087646 97.372855)
+        (xy 121.140713 97.3424)
+        (xy 121.201546 97.348954)
+        (xy 121.226995 97.368167)
+        (xy 121.228174 97.366848)
+        (xy 121.233432 97.371549)
+        (xy 121.23797 97.376948)
+        (xy 121.243841 97.380856)
+        (xy 121.243842 97.380857)
+        (xy 121.248286 97.383815)
+        (xy 121.357313 97.45639)
+        (xy 121.433443 97.480174)
+        (xy 121.487425 97.497039)
+        (xy 121.487426 97.497039)
+        (xy 121.494157 97.499142)
+        (xy 121.565828 97.500456)
+        (xy 121.630445 97.501641)
+        (xy 121.630447 97.501641)
+        (xy 121.637499 97.50177)
+        (xy 121.644302 97.499915)
+        (xy 121.644304 97.499915)
+        (xy 121.719503 97.479413)
+        (xy 121.775817 97.46406)
+        (xy 121.897991 97.389045)
+        (xy 121.905403 97.380857)
+        (xy 121.989468 97.287982)
+        (xy 121.9942 97.282754)
+        (xy 122.05671 97.153733)
+        (xy 122.060875 97.128982)
+        (xy 122.079862 97.016124)
+        (xy 122.079862 97.01612)
+        (xy 122.080496 97.012354)
+        (xy 122.080647 97)
+        (xy 122.079762 96.993823)
+        (xy 122.419391 96.993823)
+        (xy 122.420306 97.00082)
+        (xy 122.420306 97.000821)
+        (xy 122.421814 97.012354)
+        (xy 122.43798 97.135979)
+        (xy 122.440821 97.142435)
+        (xy 122.440821 97.142436)
+        (xy 122.481542 97.23498)
+        (xy 122.49572 97.267203)
+        (xy 122.508792 97.282754)
+        (xy 122.583431 97.371549)
+        (xy 122.583434 97.371551)
+        (xy 122.58797 97.376948)
+        (xy 122.593841 97.380856)
+        (xy 122.593842 97.380857)
+        (xy 122.598286 97.383815)
+        (xy 122.707313 97.45639)
+        (xy 122.783443 97.480174)
+        (xy 122.837425 97.497039)
+        (xy 122.837426 97.497039)
+        (xy 122.844157 97.499142)
+        (xy 122.915828 97.500456)
+        (xy 122.980445 97.501641)
+        (xy 122.980447 97.501641)
+        (xy 122.987499 97.50177)
+        (xy 122.994302 97.499915)
+        (xy 122.994304 97.499915)
+        (xy 123.069503 97.479413)
+        (xy 123.125817 97.46406)
+        (xy 123.247991 97.389045)
+        (xy 123.255403 97.380857)
+        (xy 123.339468 97.287982)
+        (xy 123.3442 97.282754)
+        (xy 123.40671 97.153733)
+        (xy 123.410875 97.128982)
+        (xy 123.429862 97.016124)
+        (xy 123.429862 97.01612)
+        (xy 123.430496 97.012354)
+        (xy 123.430647 97)
+        (xy 123.410323 96.858082)
+        (xy 123.376758 96.78426)
+        (xy 123.353905 96.733996)
+        (xy 123.353904 96.733995)
+        (xy 123.350984 96.727572)
+        (xy 123.264297 96.626967)
+        (xy 123.262005 96.624307)
+        (xy 123.262004 96.624306)
+        (xy 123.2574 96.618963)
+        (xy 123.137095 96.540985)
+        (xy 122.999739 96.499907)
+        (xy 122.916497 96.499398)
+        (xy 122.863427 96.499074)
+        (xy 122.863426 96.499074)
+        (xy 122.856376 96.499031)
+        (xy 122.849599 96.500968)
+        (xy 122.849598 96.500968)
+        (xy 122.725309 96.53649)
+        (xy 122.725307 96.536491)
+        (xy 122.718529 96.538428)
+        (xy 122.59728 96.61493)
+        (xy 122.592613 96.620214)
+        (xy 122.592611 96.620216)
+        (xy 122.507044 96.717103)
+        (xy 122.507042 96.717105)
+        (xy 122.502377 96.722388)
+        (xy 122.441447 96.852163)
+        (xy 122.419391 96.993823)
+        (xy 122.079762 96.993823)
+        (xy 122.060323 96.858082)
+        (xy 122.026758 96.78426)
+        (xy 122.003905 96.733996)
+        (xy 122.003904 96.733995)
+        (xy 122.000984 96.727572)
+        (xy 121.914297 96.626967)
+        (xy 121.912005 96.624307)
+        (xy 121.912004 96.624306)
+        (xy 121.9074 96.618963)
+        (xy 121.787095 96.540985)
+        (xy 121.649739 96.499907)
+        (xy 121.566497 96.499398)
+        (xy 121.513427 96.499074)
+        (xy 121.513426 96.499074)
+        (xy 121.506376 96.499031)
+        (xy 121.499599 96.500968)
+        (xy 121.499598 96.500968)
+        (xy 121.375309 96.53649)
+        (xy 121.375307 96.536491)
+        (xy 121.368529 96.538428)
+        (xy 121.24728 96.61493)
+        (xy 121.242613 96.620214)
+        (xy 121.242611 96.620216)
+        (xy 121.237189 96.626356)
+        (xy 121.184497 96.657456)
+        (xy 121.123589 96.651646)
+        (xy 121.092485 96.628756)
+        (xy 121.092321 96.628944)
+        (xy 121.090055 96.626967)
+        (xy 121.087985 96.625444)
+        (xy 121.087006 96.624307)
+        (xy 121.087001 96.624303)
+        (xy 121.0824 96.618963)
+        (xy 120.962095 96.540985)
+        (xy 120.824739 96.499907)
+        (xy 120.741497 96.499398)
+        (xy 120.688427 96.499074)
+        (xy 120.688426 96.499074)
+        (xy 120.681376 96.499031)
+        (xy 120.674599 96.500968)
+        (xy 120.674598 96.500968)
+        (xy 120.550309 96.53649)
+        (xy 120.550307 96.536491)
+        (xy 120.543529 96.538428)
+        (xy 120.42228 96.61493)
+        (xy 120.417613 96.620214)
+        (xy 120.417611 96.620216)
+        (xy 120.332044 96.717103)
+        (xy 120.332042 96.717105)
+        (xy 120.327377 96.722388)
+        (xy 120.266447 96.852163)
+        (xy 120.244391 96.993823)
+        (xy 119.079762 96.993823)
+        (xy 119.060323 96.858082)
+        (xy 119.026758 96.78426)
+        (xy 119.003905 96.733996)
+        (xy 119.003904 96.733995)
+        (xy 119.000984 96.727572)
+        (xy 118.914297 96.626967)
+        (xy 118.912005 96.624307)
+        (xy 118.912004 96.624306)
+        (xy 118.9074 96.618963)
+        (xy 118.787095 96.540985)
+        (xy 118.649739 96.499907)
+        (xy 118.566497 96.499398)
+        (xy 118.513427 96.499074)
+        (xy 118.513426 96.499074)
+        (xy 118.506376 96.499031)
+        (xy 118.499599 96.500968)
+        (xy 118.499598 96.500968)
+        (xy 118.375309 96.53649)
+        (xy 118.375307 96.536491)
+        (xy 118.368529 96.538428)
+        (xy 118.24728 96.61493)
+        (xy 118.242613 96.620214)
+        (xy 118.242611 96.620216)
+        (xy 118.157044 96.717103)
+        (xy 118.157042 96.717105)
+        (xy 118.152377 96.722388)
+        (xy 118.091447 96.852163)
+        (xy 118.069391 96.993823)
+        (xy 117.429762 96.993823)
+        (xy 117.410323 96.858082)
+        (xy 117.376758 96.78426)
+        (xy 117.353905 96.733996)
+        (xy 117.353904 96.733995)
+        (xy 117.350984 96.727572)
+        (xy 117.264297 96.626967)
+        (xy 117.262005 96.624307)
+        (xy 117.262004 96.624306)
+        (xy 117.2574 96.618963)
+        (xy 117.137095 96.540985)
+        (xy 116.999739 96.499907)
+        (xy 116.916497 96.499398)
+        (xy 116.863427 96.499074)
+        (xy 116.863426 96.499074)
+        (xy 116.856376 96.499031)
+        (xy 116.849599 96.500968)
+        (xy 116.849598 96.500968)
+        (xy 116.725309 96.53649)
+        (xy 116.725307 96.536491)
+        (xy 116.718529 96.538428)
+        (xy 116.59728 96.61493)
+        (xy 116.592613 96.620214)
+        (xy 116.592611 96.620216)
+        (xy 116.507044 96.717103)
+        (xy 116.507042 96.717105)
+        (xy 116.502377 96.722388)
+        (xy 116.441447 96.852163)
+        (xy 116.419391 96.993823)
+        (xy 116.079762 96.993823)
+        (xy 116.060323 96.858082)
+        (xy 116.026758 96.78426)
+        (xy 116.003905 96.733996)
+        (xy 116.003904 96.733995)
+        (xy 116.000984 96.727572)
+        (xy 115.914297 96.626967)
+        (xy 115.912005 96.624307)
+        (xy 115.912004 96.624306)
+        (xy 115.9074 96.618963)
+        (xy 115.787095 96.540985)
+        (xy 115.649739 96.499907)
+        (xy 115.566497 96.499398)
+        (xy 115.513427 96.499074)
+        (xy 115.513426 96.499074)
+        (xy 115.506376 96.499031)
+        (xy 115.499599 96.500968)
+        (xy 115.499598 96.500968)
+        (xy 115.375309 96.53649)
+        (xy 115.375307 96.536491)
+        (xy 115.368529 96.538428)
+        (xy 115.24728 96.61493)
+        (xy 115.242613 96.620214)
+        (xy 115.242611 96.620216)
+        (xy 115.237189 96.626356)
+        (xy 115.184497 96.657456)
+        (xy 115.123589 96.651646)
+        (xy 115.092485 96.628756)
+        (xy 115.092321 96.628944)
+        (xy 115.090055 96.626967)
+        (xy 115.087985 96.625444)
+        (xy 115.087006 96.624307)
+        (xy 115.087001 96.624303)
+        (xy 115.0824 96.618963)
+        (xy 114.962095 96.540985)
+        (xy 114.824739 96.499907)
+        (xy 114.741497 96.499398)
+        (xy 114.688427 96.499074)
+        (xy 114.688426 96.499074)
+        (xy 114.681376 96.499031)
+        (xy 114.674599 96.500968)
+        (xy 114.674598 96.500968)
+        (xy 114.550309 96.53649)
+        (xy 114.550307 96.536491)
+        (xy 114.543529 96.538428)
+        (xy 114.42228 96.61493)
+        (xy 114.417613 96.620214)
+        (xy 114.417611 96.620216)
+        (xy 114.332044 96.717103)
+        (xy 114.332042 96.717105)
+        (xy 114.327377 96.722388)
+        (xy 114.266447 96.852163)
+        (xy 114.244391 96.993823)
+        (xy 113.079762 96.993823)
+        (xy 113.060323 96.858082)
+        (xy 113.026758 96.78426)
+        (xy 113.003905 96.733996)
+        (xy 113.003904 96.733995)
+        (xy 113.000984 96.727572)
+        (xy 112.914297 96.626967)
+        (xy 112.912005 96.624307)
+        (xy 112.912004 96.624306)
+        (xy 112.9074 96.618963)
+        (xy 112.787095 96.540985)
+        (xy 112.649739 96.499907)
+        (xy 112.566497 96.499398)
+        (xy 112.513427 96.499074)
+        (xy 112.513426 96.499074)
+        (xy 112.506376 96.499031)
+        (xy 112.499599 96.500968)
+        (xy 112.499598 96.500968)
+        (xy 112.375309 96.53649)
+        (xy 112.375307 96.536491)
+        (xy 112.368529 96.538428)
+        (xy 112.24728 96.61493)
+        (xy 112.242613 96.620214)
+        (xy 112.242611 96.620216)
+        (xy 112.157044 96.717103)
+        (xy 112.157042 96.717105)
+        (xy 112.152377 96.722388)
+        (xy 112.091447 96.852163)
+        (xy 112.069391 96.993823)
+        (xy 111.429762 96.993823)
+        (xy 111.410323 96.858082)
+        (xy 111.376758 96.78426)
+        (xy 111.353905 96.733996)
+        (xy 111.353904 96.733995)
+        (xy 111.350984 96.727572)
+        (xy 111.264297 96.626967)
+        (xy 111.262005 96.624307)
+        (xy 111.262004 96.624306)
+        (xy 111.2574 96.618963)
+        (xy 111.137095 96.540985)
+        (xy 110.999739 96.499907)
+        (xy 110.916497 96.499398)
+        (xy 110.863427 96.499074)
+        (xy 110.863426 96.499074)
+        (xy 110.856376 96.499031)
+        (xy 110.849599 96.500968)
+        (xy 110.849598 96.500968)
+        (xy 110.725309 96.53649)
+        (xy 110.725307 96.536491)
+        (xy 110.718529 96.538428)
+        (xy 110.59728 96.61493)
+        (xy 110.592613 96.620214)
+        (xy 110.592611 96.620216)
+        (xy 110.507044 96.717103)
+        (xy 110.507042 96.717105)
+        (xy 110.502377 96.722388)
+        (xy 110.441447 96.852163)
+        (xy 110.419391 96.993823)
+        (xy 110.079762 96.993823)
+        (xy 110.060323 96.858082)
+        (xy 110.026758 96.78426)
+        (xy 110.003905 96.733996)
+        (xy 110.003904 96.733995)
+        (xy 110.000984 96.727572)
+        (xy 109.914297 96.626967)
+        (xy 109.912005 96.624307)
+        (xy 109.912004 96.624306)
+        (xy 109.9074 96.618963)
+        (xy 109.787095 96.540985)
+        (xy 109.649739 96.499907)
+        (xy 109.566497 96.499398)
+        (xy 109.513427 96.499074)
+        (xy 109.513426 96.499074)
+        (xy 109.506376 96.499031)
+        (xy 109.499599 96.500968)
+        (xy 109.499598 96.500968)
+        (xy 109.375309 96.53649)
+        (xy 109.375307 96.536491)
+        (xy 109.368529 96.538428)
+        (xy 109.24728 96.61493)
+        (xy 109.242613 96.620214)
+        (xy 109.242611 96.620216)
+        (xy 109.237189 96.626356)
+        (xy 109.184497 96.657456)
+        (xy 109.123589 96.651646)
+        (xy 109.092485 96.628756)
+        (xy 109.092321 96.628944)
+        (xy 109.090055 96.626967)
+        (xy 109.087985 96.625444)
+        (xy 109.087006 96.624307)
+        (xy 109.087001 96.624303)
+        (xy 109.0824 96.618963)
+        (xy 108.962095 96.540985)
+        (xy 108.824739 96.499907)
+        (xy 108.741497 96.499398)
+        (xy 108.688427 96.499074)
+        (xy 108.688426 96.499074)
+        (xy 108.681376 96.499031)
+        (xy 108.674599 96.500968)
+        (xy 108.674598 96.500968)
+        (xy 108.550309 96.53649)
+        (xy 108.550307 96.536491)
+        (xy 108.543529 96.538428)
+        (xy 108.42228 96.61493)
+        (xy 108.417613 96.620214)
+        (xy 108.417611 96.620216)
+        (xy 108.332044 96.717103)
+        (xy 108.332042 96.717105)
+        (xy 108.327377 96.722388)
+        (xy 108.266447 96.852163)
+        (xy 108.244391 96.993823)
+        (xy 101.954723 96.993823)
+        (xy 102.014135 96.947406)
+        (xy 102.014139 96.947402)
+        (xy 102.017951 96.944424)
+        (xy 102.152564 96.788472)
+        (xy 102.171231 96.755613)
+        (xy 102.251934 96.61355)
+        (xy 102.251935 96.613547)
+        (xy 102.254323 96.609344)
+        (xy 102.267882 96.568586)
+        (xy 102.317824 96.418454)
+        (xy 102.317824 96.418452)
+        (xy 102.319351 96.413863)
+        (xy 102.325241 96.367243)
+        (xy 102.344823 96.212228)
+        (xy 102.345171 96.209474)
+        (xy 102.345583 96.18)
+        (xy 102.32548 95.97497)
+        (xy 102.265935 95.777749)
+        (xy 102.169218 95.595849)
+        (xy 102.039011 95.4362)
+        (xy 101.999765 95.403733)
+        (xy 101.884002 95.307965)
+        (xy 101.884 95.307964)
+        (xy 101.880275 95.304882)
+        (xy 101.699055 95.206897)
+        (xy 101.635855 95.187333)
+        (xy 101.506875 95.147407)
+        (xy 101.506871 95.147406)
+        (xy 101.502254 95.145977)
+        (xy 101.497446 95.145472)
+        (xy 101.497443 95.145471)
+        (xy 101.302185 95.124949)
+        (xy 101.302183 95.124949)
+        (xy 101.297369 95.124443)
+        (xy 101.237354 95.129905)
+        (xy 101.097022 95.142675)
+        (xy 101.097017 95.142676)
+        (xy 101.092203 95.143114)
+        (xy 100.894572 95.20128)
+        (xy 100.890288 95.203519)
+        (xy 100.890287 95.20352)
+        (xy 100.870126 95.21406)
+        (xy 100.712002 95.296726)
+        (xy 100.708231 95.299758)
+        (xy 100.55522 95.422781)
+        (xy 100.555217 95.422783)
+        (xy 100.551447 95.425815)
+        (xy 100.548333 95.429526)
+        (xy 100.548332 95.429527)
+        (xy 100.539585 95.439952)
+        (xy 100.419024 95.58363)
+        (xy 100.416689 95.587878)
+        (xy 100.416688 95.587879)
+        (xy 100.409955 95.600126)
+        (xy 100.319776 95.764162)
+        (xy 100.257484 95.960532)
+        (xy 100.256944 95.965344)
+        (xy 100.256944 95.965345)
+        (xy 100.255865 95.97497)
+        (xy 100.23452 96.165262)
+        (xy 96.7 96.165262)
+        (xy 96.7 93.993823)
+        (xy 114.811891 93.993823)
+        (xy 114.812806 94.00082)
+        (xy 114.812806 94.000821)
+        (xy 114.814314 94.012354)
+        (xy 114.83048 94.135979)
+        (xy 114.833321 94.142435)
+        (xy 114.833321 94.142436)
+        (xy 114.88061 94.249907)
+        (xy 114.88822 94.267203)
+        (xy 114.906512 94.288964)
+        (xy 114.975931 94.371549)
+        (xy 114.975934 94.371551)
+        (xy 114.98047 94.376948)
+        (xy 114.986341 94.380856)
+        (xy 114.986342 94.380857)
+        (xy 114.998643 94.389045)
+        (xy 115.099813 94.45639)
+        (xy 115.20042 94.487821)
+        (xy 115.229925 94.497039)
+        (xy 115.229926 94.497039)
+        (xy 115.236657 94.499142)
+        (xy 115.302663 94.500352)
+        (xy 115.370815 94.501602)
+        (xy 115.428649 94.521573)
+        (xy 115.4637 94.571724)
+        (xy 115.466821 94.615815)
+        (xy 115.446891 94.743823)
+        (xy 115.447806 94.75082)
+        (xy 115.447806 94.750821)
+        (xy 115.462753 94.865126)
+        (xy 115.46548 94.885979)
+        (xy 115.468321 94.892435)
+        (xy 115.468321 94.892436)
+        (xy 115.508609 94.983996)
+        (xy 115.52322 95.017203)
+        (xy 115.536292 95.032754)
+        (xy 115.610931 95.121549)
+        (xy 115.610934 95.121551)
+        (xy 115.61547 95.126948)
+        (xy 115.621341 95.130856)
+        (xy 115.621342 95.130857)
+        (xy 115.641809 95.144481)
+        (xy 115.734813 95.20639)
+        (xy 115.832309 95.236849)
+        (xy 115.864925 95.247039)
+        (xy 115.864926 95.247039)
+        (xy 115.871657 95.249142)
+        (xy 115.943328 95.250456)
+        (xy 116.007945 95.251641)
+        (xy 116.007947 95.251641)
+        (xy 116.014999 95.25177)
+        (xy 116.021802 95.249915)
+        (xy 116.021804 95.249915)
+        (xy 116.044149 95.243823)
+        (xy 117.494391 95.243823)
+        (xy 117.495306 95.25082)
+        (xy 117.495306 95.250821)
+        (xy 117.512065 95.378982)
+        (xy 117.51298 95.385979)
+        (xy 117.515821 95.392435)
+        (xy 117.515821 95.392436)
+        (xy 117.532142 95.429527)
+        (xy 117.57072 95.517203)
+        (xy 117.583792 95.532754)
+        (xy 117.658431 95.621549)
+        (xy 117.658434 95.621551)
+        (xy 117.66297 95.626948)
+        (xy 117.668841 95.630856)
+        (xy 117.668842 95.630857)
+        (xy 117.681143 95.639045)
+        (xy 117.782313 95.70639)
+        (xy 117.88292 95.737821)
+        (xy 117.912425 95.747039)
+        (xy 117.912426 95.747039)
+        (xy 117.919157 95.749142)
+        (xy 117.990828 95.750456)
+        (xy 118.055445 95.751641)
+        (xy 118.055447 95.751641)
+        (xy 118.062499 95.75177)
+        (xy 118.069302 95.749915)
+        (xy 118.069304 95.749915)
+        (xy 118.144503 95.729413)
+        (xy 118.200817 95.71406)
+        (xy 118.322991 95.639045)
+        (xy 118.330403 95.630857)
+        (xy 118.414468 95.537982)
+        (xy 118.4192 95.532754)
+        (xy 118.48171 95.403733)
+        (xy 118.485875 95.378982)
+        (xy 118.504862 95.266124)
+        (xy 118.504862 95.26612)
+        (xy 118.505496 95.262354)
+        (xy 118.505647 95.25)
+        (xy 118.485323 95.108082)
+        (xy 118.425984 94.977572)
+        (xy 118.36236 94.903733)
+        (xy 118.337005 94.874307)
+        (xy 118.337004 94.874306)
+        (xy 118.3324 94.868963)
+        (xy 118.212095 94.790985)
+        (xy 118.074739 94.749907)
+        (xy 117.991497 94.749398)
+        (xy 117.938427 94.749074)
+        (xy 117.938426 94.749074)
+        (xy 117.931376 94.749031)
+        (xy 117.924599 94.750968)
+        (xy 117.924598 94.750968)
+        (xy 117.800309 94.78649)
+        (xy 117.800307 94.786491)
+        (xy 117.793529 94.788428)
+        (xy 117.67228 94.86493)
+        (xy 117.667613 94.870214)
+        (xy 117.667611 94.870216)
+        (xy 117.582044 94.967103)
+        (xy 117.582042 94.967105)
+        (xy 117.577377 94.972388)
+        (xy 117.516447 95.102163)
+        (xy 117.515362 95.109132)
+        (xy 117.515361 95.109135)
+        (xy 117.501227 95.199915)
+        (xy 117.494391 95.243823)
+        (xy 116.044149 95.243823)
+        (xy 116.097003 95.229413)
+        (xy 116.153317 95.21406)
+        (xy 116.275491 95.139045)
+        (xy 116.282903 95.130857)
+        (xy 116.366968 95.037982)
+        (xy 116.3717 95.032754)
+        (xy 116.43421 94.903733)
+        (xy 116.438375 94.878982)
+        (xy 116.457362 94.766124)
+        (xy 116.457362 94.76612)
+        (xy 116.457996 94.762354)
+        (xy 116.458147 94.75)
+        (xy 116.457262 94.743823)
+        (xy 119.609391 94.743823)
+        (xy 119.610306 94.75082)
+        (xy 119.610306 94.750821)
+        (xy 119.625253 94.865126)
+        (xy 119.62798 94.885979)
+        (xy 119.630821 94.892435)
+        (xy 119.630821 94.892436)
+        (xy 119.671109 94.983996)
+        (xy 119.68572 95.017203)
+        (xy 119.698792 95.032754)
+        (xy 119.773431 95.121549)
+        (xy 119.773434 95.121551)
+        (xy 119.77797 95.126948)
+        (xy 119.783841 95.130856)
+        (xy 119.783842 95.130857)
+        (xy 119.804309 95.144481)
+        (xy 119.897313 95.20639)
+        (xy 119.994809 95.236849)
+        (xy 120.027425 95.247039)
+        (xy 120.027426 95.247039)
+        (xy 120.034157 95.249142)
+        (xy 120.105828 95.250456)
+        (xy 120.170445 95.251641)
+        (xy 120.170447 95.251641)
+        (xy 120.177499 95.25177)
+        (xy 120.184302 95.249915)
+        (xy 120.184304 95.249915)
+        (xy 120.259503 95.229413)
+        (xy 120.315817 95.21406)
+        (xy 120.437991 95.139045)
+        (xy 120.445403 95.130857)
+        (xy 120.529468 95.037982)
+        (xy 120.5342 95.032754)
+        (xy 120.59671 94.903733)
+        (xy 120.600875 94.878982)
+        (xy 120.619862 94.766124)
+        (xy 120.619862 94.76612)
+        (xy 120.620496 94.762354)
+        (xy 120.620647 94.75)
+        (xy 120.600323 94.608082)
+        (xy 120.56099 94.521573)
+        (xy 120.543905 94.483996)
+        (xy 120.543904 94.483995)
+        (xy 120.540984 94.477572)
+        (xy 120.457649 94.380857)
+        (xy 120.452005 94.374307)
+        (xy 120.452004 94.374306)
+        (xy 120.4474 94.368963)
+        (xy 120.327095 94.290985)
+        (xy 120.189739 94.249907)
+        (xy 120.106497 94.249398)
+        (xy 120.053427 94.249074)
+        (xy 120.053426 94.249074)
+        (xy 120.046376 94.249031)
+        (xy 120.039599 94.250968)
+        (xy 120.039598 94.250968)
+        (xy 119.915309 94.28649)
+        (xy 119.915307 94.286491)
+        (xy 119.908529 94.288428)
+        (xy 119.78728 94.36493)
+        (xy 119.782613 94.370214)
+        (xy 119.782611 94.370216)
+        (xy 119.697044 94.467103)
+        (xy 119.697042 94.467105)
+        (xy 119.692377 94.472388)
+        (xy 119.689381 94.47877)
+        (xy 119.68938 94.478771)
+        (xy 119.679453 94.499915)
+        (xy 119.631447 94.602163)
+        (xy 119.609391 94.743823)
+        (xy 116.457262 94.743823)
+        (xy 116.43849 94.61274)
+        (xy 116.448957 94.552456)
+        (xy 116.492859 94.509838)
+        (xy 116.538304 94.499722)
+        (xy 116.58273 94.500537)
+        (xy 116.642946 94.501641)
+        (xy 116.642948 94.501641)
+        (xy 116.649999 94.50177)
+        (xy 116.656802 94.499915)
+        (xy 116.656804 94.499915)
+        (xy 116.75777 94.472388)
+        (xy 116.788317 94.46406)
+        (xy 116.910491 94.389045)
+        (xy 116.917903 94.380857)
+        (xy 117.001968 94.287982)
+        (xy 117.0067 94.282754)
+        (xy 117.06921 94.153733)
+        (xy 117.073375 94.128982)
+        (xy 117.092362 94.016124)
+        (xy 117.092362 94.01612)
+        (xy 117.092996 94.012354)
+        (xy 117.093147 94)
+        (xy 117.092262 93.993823)
+        (xy 120.879391 93.993823)
+        (xy 120.880306 94.00082)
+        (xy 120.880306 94.000821)
+        (xy 120.881814 94.012354)
+        (xy 120.89798 94.135979)
+        (xy 120.900821 94.142435)
+        (xy 120.900821 94.142436)
+        (xy 120.94811 94.249907)
+        (xy 120.95572 94.267203)
+        (xy 120.974012 94.288964)
+        (xy 121.043431 94.371549)
+        (xy 121.043434 94.371551)
+        (xy 121.04797 94.376948)
+        (xy 121.053841 94.380856)
+        (xy 121.053842 94.380857)
+        (xy 121.066143 94.389045)
+        (xy 121.167313 94.45639)
+        (xy 121.26792 94.487821)
+        (xy 121.297425 94.497039)
+        (xy 121.297426 94.497039)
+        (xy 121.304157 94.499142)
+        (xy 121.375828 94.500456)
+        (xy 121.440445 94.501641)
+        (xy 121.440447 94.501641)
+        (xy 121.447499 94.50177)
+        (xy 121.454302 94.499915)
+        (xy 121.454304 94.499915)
+        (xy 121.55527 94.472388)
+        (xy 121.585817 94.46406)
+        (xy 121.707991 94.389045)
+        (xy 121.715403 94.380857)
+        (xy 121.799468 94.287982)
+        (xy 121.8042 94.282754)
+        (xy 121.86671 94.153733)
+        (xy 121.870875 94.128982)
+        (xy 121.889862 94.016124)
+        (xy 121.889862 94.01612)
+        (xy 121.890496 94.012354)
+        (xy 121.890647 94)
+        (xy 121.889762 93.993823)
+        (xy 122.244391 93.993823)
+        (xy 122.245306 94.00082)
+        (xy 122.245306 94.000821)
+        (xy 122.246814 94.012354)
+        (xy 122.26298 94.135979)
+        (xy 122.265821 94.142435)
+        (xy 122.265821 94.142436)
+        (xy 122.31311 94.249907)
+        (xy 122.32072 94.267203)
+        (xy 122.339012 94.288964)
+        (xy 122.408431 94.371549)
+        (xy 122.408434 94.371551)
+        (xy 122.41297 94.376948)
+        (xy 122.418841 94.380856)
+        (xy 122.418842 94.380857)
+        (xy 122.431143 94.389045)
+        (xy 122.532313 94.45639)
+        (xy 122.63292 94.487821)
+        (xy 122.662425 94.497039)
+        (xy 122.662426 94.497039)
+        (xy 122.669157 94.499142)
+        (xy 122.740828 94.500456)
+        (xy 122.805445 94.501641)
+        (xy 122.805447 94.501641)
+        (xy 122.812499 94.50177)
+        (xy 122.819302 94.499915)
+        (xy 122.819304 94.499915)
+        (xy 122.92027 94.472388)
+        (xy 122.950817 94.46406)
+        (xy 123.072991 94.389045)
+        (xy 123.080403 94.380857)
+        (xy 123.164468 94.287982)
+        (xy 123.1692 94.282754)
+        (xy 123.23171 94.153733)
+        (xy 123.235875 94.128982)
+        (xy 123.254862 94.016124)
+        (xy 123.254862 94.01612)
+        (xy 123.255496 94.012354)
+        (xy 123.255647 94)
+        (xy 123.235323 93.858082)
+        (xy 123.175984 93.727572)
+        (xy 123.0824 93.618963)
+        (xy 122.962095 93.540985)
+        (xy 122.824739 93.499907)
+        (xy 122.741497 93.499398)
+        (xy 122.688427 93.499074)
+        (xy 122.688426 93.499074)
+        (xy 122.681376 93.499031)
+        (xy 122.674599 93.500968)
+        (xy 122.674598 93.500968)
+        (xy 122.550309 93.53649)
+        (xy 122.550307 93.536491)
+        (xy 122.543529 93.538428)
+        (xy 122.42228 93.61493)
+        (xy 122.417613 93.620214)
+        (xy 122.417611 93.620216)
+        (xy 122.332044 93.717103)
+        (xy 122.332042 93.717105)
+        (xy 122.327377 93.722388)
+        (xy 122.266447 93.852163)
+        (xy 122.244391 93.993823)
+        (xy 121.889762 93.993823)
+        (xy 121.870323 93.858082)
+        (xy 121.810984 93.727572)
+        (xy 121.7174 93.618963)
+        (xy 121.597095 93.540985)
+        (xy 121.459739 93.499907)
+        (xy 121.376497 93.499398)
+        (xy 121.323427 93.499074)
+        (xy 121.323426 93.499074)
+        (xy 121.316376 93.499031)
+        (xy 121.309599 93.500968)
+        (xy 121.309598 93.500968)
+        (xy 121.185309 93.53649)
+        (xy 121.185307 93.536491)
+        (xy 121.178529 93.538428)
+        (xy 121.05728 93.61493)
+        (xy 121.052613 93.620214)
+        (xy 121.052611 93.620216)
+        (xy 120.967044 93.717103)
+        (xy 120.967042 93.717105)
+        (xy 120.962377 93.722388)
+        (xy 120.901447 93.852163)
+        (xy 120.879391 93.993823)
+        (xy 117.092262 93.993823)
+        (xy 117.072823 93.858082)
+        (xy 117.013484 93.727572)
+        (xy 116.9199 93.618963)
+        (xy 116.799595 93.540985)
+        (xy 116.662239 93.499907)
+        (xy 116.578997 93.499398)
+        (xy 116.525927 93.499074)
+        (xy 116.525926 93.499074)
+        (xy 116.518876 93.499031)
+        (xy 116.512099 93.500968)
+        (xy 116.512098 93.500968)
+        (xy 116.387809 93.53649)
+        (xy 116.387807 93.536491)
+        (xy 116.381029 93.538428)
+        (xy 116.25978 93.61493)
+        (xy 116.255113 93.620214)
+        (xy 116.255111 93.620216)
+        (xy 116.169544 93.717103)
+        (xy 116.169542 93.717105)
+        (xy 116.164877 93.722388)
+        (xy 116.103947 93.852163)
+        (xy 116.081891 93.993823)
+        (xy 116.082806 94.00082)
+        (xy 116.082806 94.000821)
+        (xy 116.10048 94.135979)
+        (xy 116.097699 94.136343)
+        (xy 116.09565 94.185262)
+        (xy 116.057646 94.233214)
+        (xy 116.002253 94.249754)
+        (xy 115.899603 94.249127)
+        (xy 115.841529 94.229865)
+        (xy 115.805868 94.180146)
+        (xy 115.80258 94.133704)
+        (xy 115.822362 94.016124)
+        (xy 115.822362 94.01612)
+        (xy 115.822996 94.012354)
+        (xy 115.823147 94)
+        (xy 115.802823 93.858082)
+        (xy 115.743484 93.727572)
+        (xy 115.6499 93.618963)
+        (xy 115.529595 93.540985)
+        (xy 115.392239 93.499907)
+        (xy 115.308997 93.499398)
+        (xy 115.255927 93.499074)
+        (xy 115.255926 93.499074)
+        (xy 115.248876 93.499031)
+        (xy 115.242099 93.500968)
+        (xy 115.242098 93.500968)
+        (xy 115.117809 93.53649)
+        (xy 115.117807 93.536491)
+        (xy 115.111029 93.538428)
+        (xy 114.98978 93.61493)
+        (xy 114.985113 93.620214)
+        (xy 114.985111 93.620216)
+        (xy 114.899544 93.717103)
+        (xy 114.899542 93.717105)
+        (xy 114.894877 93.722388)
+        (xy 114.833947 93.852163)
+        (xy 114.811891 93.993823)
+        (xy 96.7 93.993823)
+        (xy 96.7 88.53396)
+        (xy 96.702518 88.511773)
+        (xy 96.705143 88.500358)
+        (xy 96.702682 88.489484)
+        (xy 96.702702 88.478335)
+        (xy 96.703716 88.478337)
+        (xy 96.703105 88.468476)
+        (xy 96.714416 88.353632)
+        (xy 96.718202 88.334598)
+        (xy 96.758066 88.203183)
+        (xy 96.765493 88.185252)
+        (xy 96.796078 88.128033)
+        (xy 96.830228 88.064143)
+        (xy 96.841008 88.048009)
+        (xy 96.878955 88.00177)
+        (xy 96.928131 87.941848)
+        (xy 96.941848 87.928131)
+        (xy 97.048009 87.841008)
+        (xy 97.064144 87.830227)
+        (xy 97.185255 87.765492)
+        (xy 97.203183 87.758066)
+        (xy 97.26889 87.738134)
+        (xy 97.3346 87.718202)
+        (xy 97.35363 87.714416)
+        (xy 97.468499 87.703103)
+        (xy 97.478371 87.702626)
+        (xy 97.488775 87.702644)
+        (xy 97.499642 87.705143)
+        (xy 97.511583 87.702441)
+        (xy 97.533432 87.7)
+        (xy 103.965778 87.7)
+        (xy 103.98813 87.702557)
+        (xy 103.999284 87.705142)
+        (xy 104 87.705143)
+        (xy 104.005443 87.703902)
+        (xy 104.01084 87.703298)
+        (xy 104.016787 87.70239)
+        (xy 104.0455 87.70013)
+        (xy 104.184432 87.689196)
+        (xy 104.184437 87.689195)
+        (xy 104.188302 87.688891)
+        (xy 104.371967 87.644797)
+        (xy 104.449938 87.612501)
+        (xy 104.542877 87.574005)
+        (xy 104.542882 87.574002)
+        (xy 104.546473 87.572515)
+        (xy 104.549788 87.570483)
+        (xy 104.549793 87.570481)
+        (xy 104.670993 87.496209)
+        (xy 104.674887 87.493823)
+        (xy 107.244391 87.493823)
+        (xy 107.245306 87.50082)
+        (xy 107.245306 87.500821)
+        (xy 107.246814 87.512354)
+        (xy 107.26298 87.635979)
+        (xy 107.265821 87.642435)
+        (xy 107.265821 87.642436)
+        (xy 107.3167 87.758066)
+        (xy 107.32072 87.767203)
+        (xy 107.366845 87.822075)
+        (xy 107.408431 87.871549)
+        (xy 107.408434 87.871551)
+        (xy 107.41297 87.876948)
+        (xy 107.532313 87.95639)
+        (xy 107.613731 87.981826)
+        (xy 107.662425 87.997039)
+        (xy 107.662426 87.997039)
+        (xy 107.669157 87.999142)
+        (xy 107.740828 88.000456)
+        (xy 107.805445 88.001641)
+        (xy 107.805447 88.001641)
+        (xy 107.812499 88.00177)
+        (xy 107.896417 87.978891)
+        (xy 107.95753 87.981826)
+        (xy 108.005248 88.020123)
+        (xy 108.021342 88.079154)
+        (xy 108.017862 88.09915)
+        (xy 108.016447 88.102163)
+        (xy 107.994391 88.243823)
+        (xy 107.995306 88.25082)
+        (xy 107.995306 88.250821)
+        (xy 107.996814 88.262354)
+        (xy 108.01298 88.385979)
+        (xy 108.015821 88.392435)
+        (xy 108.015821 88.392436)
+        (xy 108.053619 88.478337)
+        (xy 108.07072 88.517203)
+        (xy 108.083792 88.532754)
+        (xy 108.158431 88.621549)
+        (xy 108.158434 88.621551)
+        (xy 108.16297 88.626948)
+        (xy 108.168841 88.630856)
+        (xy 108.168842 88.630857)
+        (xy 108.181143 88.639045)
+        (xy 108.282313 88.70639)
+        (xy 108.38292 88.737821)
+        (xy 108.412425 88.747039)
+        (xy 108.412426 88.747039)
+        (xy 108.419157 88.749142)
+        (xy 108.490828 88.750456)
+        (xy 108.555445 88.751641)
+        (xy 108.555447 88.751641)
+        (xy 108.562499 88.75177)
+        (xy 108.569302 88.749915)
+        (xy 108.569304 88.749915)
+        (xy 108.644503 88.729413)
+        (xy 108.700817 88.71406)
+        (xy 108.822991 88.639045)
+        (xy 108.830403 88.630857)
+        (xy 108.914468 88.537982)
+        (xy 108.9192 88.532754)
+        (xy 108.98171 88.403733)
+        (xy 108.985875 88.378982)
+        (xy 109.004862 88.266124)
+        (xy 109.004862 88.26612)
+        (xy 109.005496 88.262354)
+        (xy 109.005647 88.25)
+        (xy 108.985323 88.108082)
+        (xy 108.936986 88.00177)
+        (xy 108.928904 87.983994)
+        (xy 108.928904 87.983993)
+        (xy 108.925984 87.977572)
+        (xy 108.89375 87.940163)
+        (xy 108.870089 87.883738)
+        (xy 108.884112 87.824181)
+        (xy 108.89535 87.809104)
+        (xy 108.914468 87.787982)
+        (xy 108.9192 87.782754)
+        (xy 108.98171 87.653733)
+        (xy 108.983214 87.644797)
+        (xy 109.004862 87.516124)
+        (xy 109.004862 87.51612)
+        (xy 109.005496 87.512354)
+        (xy 109.005647 87.5)
+        (xy 108.985323 87.358082)
+        (xy 108.956577 87.294858)
+        (xy 108.928905 87.233996)
+        (xy 108.928904 87.233995)
+        (xy 108.925984 87.227572)
+        (xy 108.849704 87.139045)
+        (xy 108.837005 87.124307)
+        (xy 108.837004 87.124306)
+        (xy 108.8324 87.118963)
+        (xy 108.712095 87.040985)
+        (xy 108.574739 86.999907)
+        (xy 108.491497 86.999398)
+        (xy 108.438427 86.999074)
+        (xy 108.438426 86.999074)
+        (xy 108.431376 86.999031)
+        (xy 108.424599 87.000968)
+        (xy 108.424598 87.000968)
+        (xy 108.300309 87.03649)
+        (xy 108.300307 87.036491)
+        (xy 108.293529 87.038428)
+        (xy 108.287567 87.04219)
+        (xy 108.287564 87.042191)
+        (xy 108.177128 87.111871)
+        (xy 108.117825 87.126932)
+        (xy 108.070453 87.111219)
+        (xy 107.968016 87.044823)
+        (xy 107.968017 87.044823)
+        (xy 107.962095 87.040985)
+        (xy 107.824739 86.999907)
+        (xy 107.741497 86.999398)
+        (xy 107.688427 86.999074)
+        (xy 107.688426 86.999074)
+        (xy 107.681376 86.999031)
+        (xy 107.674599 87.000968)
+        (xy 107.674598 87.000968)
+        (xy 107.550309 87.03649)
+        (xy 107.550307 87.036491)
+        (xy 107.543529 87.038428)
+        (xy 107.42228 87.11493)
+        (xy 107.417613 87.120214)
+        (xy 107.417611 87.120216)
+        (xy 107.332044 87.217103)
+        (xy 107.332042 87.217105)
+        (xy 107.327377 87.222388)
+        (xy 107.266447 87.352163)
+        (xy 107.265362 87.359132)
+        (xy 107.265361 87.359135)
+        (xy 107.25068 87.45343)
+        (xy 107.244391 87.493823)
+        (xy 104.674887 87.493823)
+        (xy 104.707524 87.473823)
+        (xy 104.851153 87.351153)
+        (xy 104.951214 87.233996)
+        (xy 104.971295 87.210484)
+        (xy 104.973823 87.207524)
+        (xy 105.028093 87.118963)
+        (xy 105.070481 87.049793)
+        (xy 105.070483 87.049788)
+        (xy 105.072515 87.046473)
+        (xy 105.074002 87.042882)
+        (xy 105.074005 87.042877)
+        (xy 105.14331 86.875556)
+        (xy 105.144797 86.871967)
+        (xy 105.188891 86.688302)
+        (xy 105.195671 86.602163)
+        (xy 105.20231 86.517806)
+        (xy 105.203244 86.511751)
+        (xy 105.203234 86.51175)
+        (xy 105.203875 86.506182)
+        (xy 105.205142 86.500716)
+        (xy 105.205143 86.5)
+        (xy 105.2039 86.494553)
+        (xy 105.203278 86.488992)
+        (xy 105.204502 86.488855)
+        (xy 105.203129 86.468228)
+        (xy 105.214416 86.353632)
+        (xy 105.218202 86.334598)
+        (xy 105.258066 86.203183)
+        (xy 105.265493 86.185252)
+        (xy 105.282341 86.153733)
+        (xy 105.330228 86.064143)
+        (xy 105.341008 86.048009)
+        (xy 105.380407 86)
+        (xy 105.428131 85.941848)
+        (xy 105.441848 85.928131)
+        (xy 105.548009 85.841008)
+        (xy 105.564144 85.830227)
+        (xy 105.685255 85.765492)
+        (xy 105.703177 85.758068)
+        (xy 105.715722 85.754262)
+        (xy 105.744457 85.75)
+        (xy 109.245139 85.75)
+      )
+    )
+  )
+  (zone (net 2) (net_name "GND") (layer "In1.Cu") (tstamp 9cdd33b1-079a-4a35-bc8d-bad0b4d83afd) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 134 108)
+        (xy 127.5 108)
+        (xy 127.5 94.5)
+        (xy 126.5 93.5)
+        (xy 126.5 88.75)
+        (xy 111.75 88.75)
+        (xy 111.75 86.5)
+        (xy 111.5 86.25)
+        (xy 111.5 85.25)
+        (xy 110.75 84.5)
+        (xy 110.75 75.5)
+        (xy 134 75.5)
+      )
+    )
+    (filled_polygon
+      (layer "In1.Cu")
+      (pts
+        (xy 132.988227 75.702518)
+        (xy 132.999642 75.705143)
+        (xy 133.010516 75.702682)
+        (xy 133.021665 75.702702)
+        (xy 133.021663 75.703716)
+        (xy 133.031524 75.703105)
+        (xy 133.087538 75.708622)
+        (xy 133.14637 75.714416)
+        (xy 133.1654 75.718202)
+        (xy 133.23111 75.738134)
+        (xy 133.296817 75.758066)
+        (xy 133.314745 75.765492)
+        (xy 133.365229 75.792476)
+        (xy 133.435856 75.830227)
+        (xy 133.451991 75.841008)
+        (xy 133.558152 75.928131)
+        (xy 133.571869 75.941848)
+        (xy 133.581454 75.953527)
+        (xy 133.658992 76.048009)
+        (xy 133.669772 76.064143)
+        (xy 133.703923 76.128033)
+        (xy 133.734507 76.185252)
+        (xy 133.741934 76.203183)
+        (xy 133.781798 76.334598)
+        (xy 133.785584 76.353632)
+        (xy 133.796897 76.468493)
+        (xy 133.797374 76.478371)
+        (xy 133.797356 76.488775)
+        (xy 133.794857 76.499642)
+        (xy 133.797559 76.511583)
+        (xy 133.8 76.533432)
+        (xy 133.8 106.96604)
+        (xy 133.797482 106.988227)
+        (xy 133.794857 106.999642)
+        (xy 133.797318 107.010516)
+        (xy 133.797298 107.021665)
+        (xy 133.796284 107.021663)
+        (xy 133.796895 107.031524)
+        (xy 133.785584 107.146368)
+        (xy 133.781798 107.1654)
+        (xy 133.767038 107.21406)
+        (xy 133.741934 107.296817)
+        (xy 133.734508 107.314745)
+        (xy 133.693598 107.391283)
+        (xy 133.669773 107.435856)
+        (xy 133.658992 107.451991)
+        (xy 133.571869 107.558152)
+        (xy 133.558152 107.571869)
+        (xy 133.477053 107.638425)
+        (xy 133.451991 107.658992)
+        (xy 133.435857 107.669772)
+        (xy 133.314745 107.734508)
+        (xy 133.296817 107.741934)
+        (xy 133.23111 107.761866)
+        (xy 133.1654 107.781798)
+        (xy 133.14637 107.785584)
+        (xy 133.031501 107.796897)
+        (xy 133.021629 107.797374)
+        (xy 133.011225 107.797356)
+        (xy 133.000358 107.794857)
+        (xy 132.988417 107.797559)
+        (xy 132.966568 107.8)
+        (xy 127.941507 107.8)
+        (xy 127.878703 107.777529)
+        (xy 127.82628 107.734507)
+        (xy 127.800427 107.71329)
+        (xy 127.78671 107.699573)
+        (xy 127.674715 107.563106)
+        (xy 127.663933 107.546969)
+        (xy 127.580717 107.391283)
+        (xy 127.57329 107.373353)
+        (xy 127.522045 107.20442)
+        (xy 127.518259 107.185386)
+        (xy 127.500477 107.004843)
+        (xy 127.5 106.995139)
+        (xy 127.5 103.785262)
+        (xy 128.17452 103.785262)
+        (xy 128.191759 103.990553)
+        (xy 128.248544 104.188586)
+        (xy 128.342712 104.371818)
+        (xy 128.470677 104.53327)
+        (xy 128.474357 104.536402)
+        (xy 128.474359 104.536404)
+        (xy 128.587017 104.632283)
+        (xy 128.627564 104.666791)
+        (xy 128.631787 104.669151)
+        (xy 128.631791 104.669154)
+        (xy 128.671342 104.691258)
+        (xy 128.807398 104.767297)
+        (xy 128.811996 104.768791)
+        (xy 128.998724 104.829463)
+        (xy 128.998726 104.829464)
+        (xy 129.003329 104.830959)
+        (xy 129.207894 104.855351)
+        (xy 129.212716 104.85498)
+        (xy 129.212719 104.85498)
+        (xy 129.280541 104.849761)
+        (xy 129.4133 104.839546)
+        (xy 129.611725 104.784145)
+        (xy 129.616038 104.781966)
+        (xy 129.616044 104.781964)
+        (xy 129.791289 104.693441)
+        (xy 129.791291 104.69344)
+        (xy 129.79561 104.691258)
+        (xy 129.830943 104.663653)
+        (xy 129.954135 104.567406)
+        (xy 129.954139 104.567402)
+        (xy 129.957951 104.564424)
+        (xy 130.092564 104.408472)
+        (xy 130.111231 104.375613)
+        (xy 130.191934 104.23355)
+        (xy 130.191935 104.233547)
+        (xy 130.194323 104.229344)
+        (xy 130.207882 104.188586)
+        (xy 130.257824 104.038454)
+        (xy 130.257824 104.038452)
+        (xy 130.259351 104.033863)
+        (xy 130.285171 103.829474)
+        (xy 130.285583 103.8)
+        (xy 130.271636 103.657754)
+        (xy 130.265952 103.59978)
+        (xy 130.265951 103.599776)
+        (xy 130.26548 103.59497)
+        (xy 130.240122 103.510979)
+        (xy 130.207333 103.40238)
+        (xy 130.205935 103.397749)
+        (xy 130.109218 103.215849)
+        (xy 129.979011 103.0562)
+        (xy 129.96279 103.042781)
+        (xy 129.824002 102.927965)
+        (xy 129.824 102.927964)
+        (xy 129.820275 102.924882)
+        (xy 129.639055 102.826897)
+        (xy 129.575855 102.807333)
+        (xy 129.446875 102.767407)
+        (xy 129.446871 102.767406)
+        (xy 129.442254 102.765977)
+        (xy 129.437446 102.765472)
+        (xy 129.437443 102.765471)
+        (xy 129.242185 102.744949)
+        (xy 129.242183 102.744949)
+        (xy 129.237369 102.744443)
+        (xy 129.177354 102.749905)
+        (xy 129.037022 102.762675)
+        (xy 129.037017 102.762676)
+        (xy 129.032203 102.763114)
+        (xy 128.834572 102.82128)
+        (xy 128.830288 102.823519)
+        (xy 128.830287 102.82352)
+        (xy 128.819428 102.829197)
+        (xy 128.652002 102.916726)
+        (xy 128.648231 102.919758)
+        (xy 128.49522 103.042781)
+        (xy 128.495217 103.042783)
+        (xy 128.491447 103.045815)
+        (xy 128.488333 103.049526)
+        (xy 128.488332 103.049527)
+        (xy 128.479585 103.059952)
+        (xy 128.359024 103.20363)
+        (xy 128.356689 103.207878)
+        (xy 128.356688 103.207879)
+        (xy 128.349955 103.220126)
+        (xy 128.259776 103.384162)
+        (xy 128.258313 103.388775)
+        (xy 128.258311 103.388779)
+        (xy 128.204413 103.558689)
+        (xy 128.197484 103.580532)
+        (xy 128.196944 103.585344)
+        (xy 128.196944 103.585345)
+        (xy 128.178257 103.751948)
+        (xy 128.17452 103.785262)
+        (xy 127.5 103.785262)
+        (xy 127.5 101.245262)
+        (xy 128.17452 101.245262)
+        (xy 128.191759 101.450553)
+        (xy 128.248544 101.648586)
+        (xy 128.342712 101.831818)
+        (xy 128.470677 101.99327)
+        (xy 128.474357 101.996402)
+        (xy 128.474359 101.996404)
+        (xy 128.587017 102.092283)
+        (xy 128.627564 102.126791)
+        (xy 128.631787 102.129151)
+        (xy 128.631791 102.129154)
+        (xy 128.671342 102.151258)
+        (xy 128.807398 102.227297)
+        (xy 128.811996 102.228791)
+        (xy 128.998724 102.289463)
+        (xy 128.998726 102.289464)
+        (xy 129.003329 102.290959)
+        (xy 129.207894 102.315351)
+        (xy 129.212716 102.31498)
+        (xy 129.212719 102.31498)
+        (xy 129.280541 102.309761)
+        (xy 129.4133 102.299546)
+        (xy 129.611725 102.244145)
+        (xy 129.616038 102.241966)
+        (xy 129.616044 102.241964)
+        (xy 129.791289 102.153441)
+        (xy 129.791291 102.15344)
+        (xy 129.79561 102.151258)
+        (xy 129.830943 102.123653)
+        (xy 129.954135 102.027406)
+        (xy 129.954139 102.027402)
+        (xy 129.957951 102.024424)
+        (xy 130.092564 101.868472)
+        (xy 130.111231 101.835613)
+        (xy 130.191934 101.69355)
+        (xy 130.191935 101.693547)
+        (xy 130.194323 101.689344)
+        (xy 130.207882 101.648586)
+        (xy 130.257824 101.498454)
+        (xy 130.257824 101.498452)
+        (xy 130.259351 101.493863)
+        (xy 130.285171 101.289474)
+        (xy 130.285583 101.26)
+        (xy 130.284138 101.245262)
+        (xy 130.71452 101.245262)
+        (xy 130.731759 101.450553)
+        (xy 130.788544 101.648586)
+        (xy 130.882712 101.831818)
+        (xy 131.010677 101.99327)
+        (xy 131.014357 101.996402)
+        (xy 131.014359 101.996404)
+        (xy 131.127017 102.092283)
+        (xy 131.167564 102.126791)
+        (xy 131.171787 102.129151)
+        (xy 131.171791 102.129154)
+        (xy 131.211342 102.151258)
+        (xy 131.347398 102.227297)
+        (xy 131.351996 102.228791)
+        (xy 131.538724 102.289463)
+        (xy 131.538726 102.289464)
+        (xy 131.543329 102.290959)
+        (xy 131.747894 102.315351)
+        (xy 131.752716 102.31498)
+        (xy 131.752719 102.31498)
+        (xy 131.820541 102.309761)
+        (xy 131.9533 102.299546)
+        (xy 132.151725 102.244145)
+        (xy 132.156038 102.241966)
+        (xy 132.156044 102.241964)
+        (xy 132.331289 102.153441)
+        (xy 132.331291 102.15344)
+        (xy 132.33561 102.151258)
+        (xy 132.370943 102.123653)
+        (xy 132.494135 102.027406)
+        (xy 132.494139 102.027402)
+        (xy 132.497951 102.024424)
+        (xy 132.632564 101.868472)
+        (xy 132.651231 101.835613)
+        (xy 132.731934 101.69355)
+        (xy 132.731935 101.693547)
+        (xy 132.734323 101.689344)
+        (xy 132.747882 101.648586)
+        (xy 132.797824 101.498454)
+        (xy 132.797824 101.498452)
+        (xy 132.799351 101.493863)
+        (xy 132.825171 101.289474)
+        (xy 132.825583 101.26)
+        (xy 132.80548 101.05497)
+        (xy 132.745935 100.857749)
+        (xy 132.649218 100.675849)
+        (xy 132.519011 100.5162)
+        (xy 132.360275 100.384882)
+        (xy 132.179055 100.286897)
+        (xy 132.115855 100.267333)
+        (xy 131.986875 100.227407)
+        (xy 131.986871 100.227406)
+        (xy 131.982254 100.225977)
+        (xy 131.977446 100.225472)
+        (xy 131.977443 100.225471)
+        (xy 131.782185 100.204949)
+        (xy 131.782183 100.204949)
+        (xy 131.777369 100.204443)
+        (xy 131.717354 100.209905)
+        (xy 131.577022 100.222675)
+        (xy 131.577017 100.222676)
+        (xy 131.572203 100.223114)
+        (xy 131.374572 100.28128)
+        (xy 131.370288 100.283519)
+        (xy 131.370287 100.28352)
+        (xy 131.359428 100.289197)
+        (xy 131.192002 100.376726)
+        (xy 131.188231 100.379758)
+        (xy 131.03522 100.502781)
+        (xy 131.035217 100.502783)
+        (xy 131.031447 100.505815)
+        (xy 131.028333 100.509526)
+        (xy 131.028332 100.509527)
+        (xy 131.019585 100.519952)
+        (xy 130.899024 100.66363)
+        (xy 130.896689 100.667878)
+        (xy 130.896688 100.667879)
+        (xy 130.889955 100.680126)
+        (xy 130.799776 100.844162)
+        (xy 130.737484 101.040532)
+        (xy 130.736944 101.045344)
+        (xy 130.736944 101.045345)
+        (xy 130.735865 101.05497)
+        (xy 130.71452 101.245262)
+        (xy 130.284138 101.245262)
+        (xy 130.26548 101.05497)
+        (xy 130.205935 100.857749)
+        (xy 130.109218 100.675849)
+        (xy 129.979011 100.5162)
+        (xy 129.820275 100.384882)
+        (xy 129.639055 100.286897)
+        (xy 129.575855 100.267333)
+        (xy 129.446875 100.227407)
+        (xy 129.446871 100.227406)
+        (xy 129.442254 100.225977)
+        (xy 129.437446 100.225472)
+        (xy 129.437443 100.225471)
+        (xy 129.242185 100.204949)
+        (xy 129.242183 100.204949)
+        (xy 129.237369 100.204443)
+        (xy 129.177354 100.209905)
+        (xy 129.037022 100.222675)
+        (xy 129.037017 100.222676)
+        (xy 129.032203 100.223114)
+        (xy 128.834572 100.28128)
+        (xy 128.830288 100.283519)
+        (xy 128.830287 100.28352)
+        (xy 128.819428 100.289197)
+        (xy 128.652002 100.376726)
+        (xy 128.648231 100.379758)
+        (xy 128.49522 100.502781)
+        (xy 128.495217 100.502783)
+        (xy 128.491447 100.505815)
+        (xy 128.488333 100.509526)
+        (xy 128.488332 100.509527)
+        (xy 128.479585 100.519952)
+        (xy 128.359024 100.66363)
+        (xy 128.356689 100.667878)
+        (xy 128.356688 100.667879)
+        (xy 128.349955 100.680126)
+        (xy 128.259776 100.844162)
+        (xy 128.197484 101.040532)
+        (xy 128.196944 101.045344)
+        (xy 128.196944 101.045345)
+        (xy 128.195865 101.05497)
+        (xy 128.17452 101.245262)
+        (xy 127.5 101.245262)
+        (xy 127.5 98.705262)
+        (xy 128.17452 98.705262)
+        (xy 128.191759 98.910553)
+        (xy 128.248544 99.108586)
+        (xy 128.342712 99.291818)
+        (xy 128.470677 99.45327)
+        (xy 128.474357 99.456402)
+        (xy 128.474359 99.456404)
+        (xy 128.587017 99.552283)
+        (xy 128.627564 99.586791)
+        (xy 128.631787 99.589151)
+        (xy 128.631791 99.589154)
+        (xy 128.671342 99.611258)
+        (xy 128.807398 99.687297)
+        (xy 128.811996 99.688791)
+        (xy 128.998724 99.749463)
+        (xy 128.998726 99.749464)
+        (xy 129.003329 99.750959)
+        (xy 129.207894 99.775351)
+        (xy 129.212716 99.77498)
+        (xy 129.212719 99.77498)
+        (xy 129.280541 99.769761)
+        (xy 129.4133 99.759546)
+        (xy 129.611725 99.704145)
+        (xy 129.616038 99.701966)
+        (xy 129.616044 99.701964)
+        (xy 129.791289 99.613441)
+        (xy 129.791291 99.61344)
+        (xy 129.79561 99.611258)
+        (xy 129.830943 99.583653)
+        (xy 129.954135 99.487406)
+        (xy 129.954139 99.487402)
+        (xy 129.957951 99.484424)
+        (xy 130.092564 99.328472)
+        (xy 130.111231 99.295613)
+        (xy 130.191934 99.15355)
+        (xy 130.191935 99.153547)
+        (xy 130.194323 99.149344)
+        (xy 130.207882 99.108586)
+        (xy 130.257824 98.958454)
+        (xy 130.257824 98.958452)
+        (xy 130.259351 98.953863)
+        (xy 130.285171 98.749474)
+        (xy 130.285583 98.72)
+        (xy 130.284138 98.705262)
+        (xy 130.71452 98.705262)
+        (xy 130.731759 98.910553)
+        (xy 130.788544 99.108586)
+        (xy 130.882712 99.291818)
+        (xy 131.010677 99.45327)
+        (xy 131.014357 99.456402)
+        (xy 131.014359 99.456404)
+        (xy 131.127017 99.552283)
+        (xy 131.167564 99.586791)
+        (xy 131.171787 99.589151)
+        (xy 131.171791 99.589154)
+        (xy 131.211342 99.611258)
+        (xy 131.347398 99.687297)
+        (xy 131.351996 99.688791)
+        (xy 131.538724 99.749463)
+        (xy 131.538726 99.749464)
+        (xy 131.543329 99.750959)
+        (xy 131.747894 99.775351)
+        (xy 131.752716 99.77498)
+        (xy 131.752719 99.77498)
+        (xy 131.820541 99.769761)
+        (xy 131.9533 99.759546)
+        (xy 132.151725 99.704145)
+        (xy 132.156038 99.701966)
+        (xy 132.156044 99.701964)
+        (xy 132.331289 99.613441)
+        (xy 132.331291 99.61344)
+        (xy 132.33561 99.611258)
+        (xy 132.370943 99.583653)
+        (xy 132.494135 99.487406)
+        (xy 132.494139 99.487402)
+        (xy 132.497951 99.484424)
+        (xy 132.632564 99.328472)
+        (xy 132.651231 99.295613)
+        (xy 132.731934 99.15355)
+        (xy 132.731935 99.153547)
+        (xy 132.734323 99.149344)
+        (xy 132.747882 99.108586)
+        (xy 132.797824 98.958454)
+        (xy 132.797824 98.958452)
+        (xy 132.799351 98.953863)
+        (xy 132.825171 98.749474)
+        (xy 132.825583 98.72)
+        (xy 132.80548 98.51497)
+        (xy 132.745935 98.317749)
+        (xy 132.649218 98.135849)
+        (xy 132.519011 97.9762)
+        (xy 132.360275 97.844882)
+        (xy 132.179055 97.746897)
+        (xy 132.115855 97.727333)
+        (xy 131.986875 97.687407)
+        (xy 131.986871 97.687406)
+        (xy 131.982254 97.685977)
+        (xy 131.977446 97.685472)
+        (xy 131.977443 97.685471)
+        (xy 131.782185 97.664949)
+        (xy 131.782183 97.664949)
+        (xy 131.777369 97.664443)
+        (xy 131.717354 97.669905)
+        (xy 131.577022 97.682675)
+        (xy 131.577017 97.682676)
+        (xy 131.572203 97.683114)
+        (xy 131.374572 97.74128)
+        (xy 131.370288 97.743519)
+        (xy 131.370287 97.74352)
+        (xy 131.359428 97.749197)
+        (xy 131.192002 97.836726)
+        (xy 131.188231 97.839758)
+        (xy 131.03522 97.962781)
+        (xy 131.035217 97.962783)
+        (xy 131.031447 97.965815)
+        (xy 131.028333 97.969526)
+        (xy 131.028332 97.969527)
+        (xy 131.019585 97.979952)
+        (xy 130.899024 98.12363)
+        (xy 130.896689 98.127878)
+        (xy 130.896688 98.127879)
+        (xy 130.889955 98.140126)
+        (xy 130.799776 98.304162)
+        (xy 130.737484 98.500532)
+        (xy 130.736944 98.505344)
+        (xy 130.736944 98.505345)
+        (xy 130.735865 98.51497)
+        (xy 130.71452 98.705262)
+        (xy 130.284138 98.705262)
+        (xy 130.26548 98.51497)
+        (xy 130.205935 98.317749)
+        (xy 130.109218 98.135849)
+        (xy 129.979011 97.9762)
+        (xy 129.820275 97.844882)
+        (xy 129.639055 97.746897)
+        (xy 129.575855 97.727333)
+        (xy 129.446875 97.687407)
+        (xy 129.446871 97.687406)
+        (xy 129.442254 97.685977)
+        (xy 129.437446 97.685472)
+        (xy 129.437443 97.685471)
+        (xy 129.242185 97.664949)
+        (xy 129.242183 97.664949)
+        (xy 129.237369 97.664443)
+        (xy 129.177354 97.669905)
+        (xy 129.037022 97.682675)
+        (xy 129.037017 97.682676)
+        (xy 129.032203 97.683114)
+        (xy 128.834572 97.74128)
+        (xy 128.830288 97.743519)
+        (xy 128.830287 97.74352)
+        (xy 128.819428 97.749197)
+        (xy 128.652002 97.836726)
+        (xy 128.648231 97.839758)
+        (xy 128.49522 97.962781)
+        (xy 128.495217 97.962783)
+        (xy 128.491447 97.965815)
+        (xy 128.488333 97.969526)
+        (xy 128.488332 97.969527)
+        (xy 128.479585 97.979952)
+        (xy 128.359024 98.12363)
+        (xy 128.356689 98.127878)
+        (xy 128.356688 98.127879)
+        (xy 128.349955 98.140126)
+        (xy 128.259776 98.304162)
+        (xy 128.197484 98.500532)
+        (xy 128.196944 98.505344)
+        (xy 128.196944 98.505345)
+        (xy 128.195865 98.51497)
+        (xy 128.17452 98.705262)
+        (xy 127.5 98.705262)
+        (xy 127.5 96.165262)
+        (xy 128.17452 96.165262)
+        (xy 128.191759 96.370553)
+        (xy 128.193092 96.375201)
+        (xy 128.193092 96.375202)
+        (xy 128.24063 96.540985)
+        (xy 128.248544 96.568586)
+        (xy 128.342712 96.751818)
+        (xy 128.470677 96.91327)
+        (xy 128.474357 96.916402)
+        (xy 128.474359 96.916404)
+        (xy 128.565327 96.993823)
+        (xy 128.627564 97.046791)
+        (xy 128.631787 97.049151)
+        (xy 128.631791 97.049154)
+        (xy 128.671342 97.071258)
+        (xy 128.807398 97.147297)
+        (xy 128.811996 97.148791)
+        (xy 128.998724 97.209463)
+        (xy 128.998726 97.209464)
+        (xy 129.003329 97.210959)
+        (xy 129.207894 97.235351)
+        (xy 129.212716 97.23498)
+        (xy 129.212719 97.23498)
+        (xy 129.280541 97.229761)
+        (xy 129.4133 97.219546)
+        (xy 129.611725 97.164145)
+        (xy 129.616038 97.161966)
+        (xy 129.616044 97.161964)
+        (xy 129.791289 97.073441)
+        (xy 129.791291 97.07344)
+        (xy 129.79561 97.071258)
+        (xy 129.830943 97.043653)
+        (xy 129.954135 96.947406)
+        (xy 129.954139 96.947402)
+        (xy 129.957951 96.944424)
+        (xy 130.092564 96.788472)
+        (xy 130.111231 96.755613)
+        (xy 130.191934 96.61355)
+        (xy 130.191935 96.613547)
+        (xy 130.194323 96.609344)
+        (xy 130.207882 96.568586)
+        (xy 130.257824 96.418454)
+        (xy 130.257824 96.418452)
+        (xy 130.259351 96.413863)
+        (xy 130.285171 96.209474)
+        (xy 130.285583 96.18)
+        (xy 130.284138 96.165262)
+        (xy 130.71452 96.165262)
+        (xy 130.731759 96.370553)
+        (xy 130.733092 96.375201)
+        (xy 130.733092 96.375202)
+        (xy 130.78063 96.540985)
+        (xy 130.788544 96.568586)
+        (xy 130.882712 96.751818)
+        (xy 131.010677 96.91327)
+        (xy 131.014357 96.916402)
+        (xy 131.014359 96.916404)
+        (xy 131.105327 96.993823)
+        (xy 131.167564 97.046791)
+        (xy 131.171787 97.049151)
+        (xy 131.171791 97.049154)
+        (xy 131.211342 97.071258)
+        (xy 131.347398 97.147297)
+        (xy 131.351996 97.148791)
+        (xy 131.538724 97.209463)
+        (xy 131.538726 97.209464)
+        (xy 131.543329 97.210959)
+        (xy 131.747894 97.235351)
+        (xy 131.752716 97.23498)
+        (xy 131.752719 97.23498)
+        (xy 131.820541 97.229761)
+        (xy 131.9533 97.219546)
+        (xy 132.151725 97.164145)
+        (xy 132.156038 97.161966)
+        (xy 132.156044 97.161964)
+        (xy 132.331289 97.073441)
+        (xy 132.331291 97.07344)
+        (xy 132.33561 97.071258)
+        (xy 132.370943 97.043653)
+        (xy 132.494135 96.947406)
+        (xy 132.494139 96.947402)
+        (xy 132.497951 96.944424)
+        (xy 132.632564 96.788472)
+        (xy 132.651231 96.755613)
+        (xy 132.731934 96.61355)
+        (xy 132.731935 96.613547)
+        (xy 132.734323 96.609344)
+        (xy 132.747882 96.568586)
+        (xy 132.797824 96.418454)
+        (xy 132.797824 96.418452)
+        (xy 132.799351 96.413863)
+        (xy 132.825171 96.209474)
+        (xy 132.825583 96.18)
+        (xy 132.80548 95.97497)
+        (xy 132.799871 95.95639)
+        (xy 132.747333 95.78238)
+        (xy 132.745935 95.777749)
+        (xy 132.649218 95.595849)
+        (xy 132.519011 95.4362)
+        (xy 132.479765 95.403733)
+        (xy 132.364002 95.307965)
+        (xy 132.364 95.307964)
+        (xy 132.360275 95.304882)
+        (xy 132.179055 95.206897)
+        (xy 132.115855 95.187333)
+        (xy 131.986875 95.147407)
+        (xy 131.986871 95.147406)
+        (xy 131.982254 95.145977)
+        (xy 131.977446 95.145472)
+        (xy 131.977443 95.145471)
+        (xy 131.782185 95.124949)
+        (xy 131.782183 95.124949)
+        (xy 131.777369 95.124443)
+        (xy 131.717354 95.129905)
+        (xy 131.577022 95.142675)
+        (xy 131.577017 95.142676)
+        (xy 131.572203 95.143114)
+        (xy 131.374572 95.20128)
+        (xy 131.370288 95.203519)
+        (xy 131.370287 95.20352)
+        (xy 131.359566 95.209125)
+        (xy 131.192002 95.296726)
+        (xy 131.188231 95.299758)
+        (xy 131.03522 95.422781)
+        (xy 131.035217 95.422783)
+        (xy 131.031447 95.425815)
+        (xy 131.028333 95.429526)
+        (xy 131.028332 95.429527)
+        (xy 131.019585 95.439952)
+        (xy 130.899024 95.58363)
+        (xy 130.896689 95.587878)
+        (xy 130.896688 95.587879)
+        (xy 130.889955 95.600126)
+        (xy 130.799776 95.764162)
+        (xy 130.737484 95.960532)
+        (xy 130.736944 95.965344)
+        (xy 130.736944 95.965345)
+        (xy 130.735865 95.97497)
+        (xy 130.71452 96.165262)
+        (xy 130.284138 96.165262)
+        (xy 130.26548 95.97497)
+        (xy 130.259871 95.95639)
+        (xy 130.207333 95.78238)
+        (xy 130.205935 95.777749)
+        (xy 130.109218 95.595849)
+        (xy 129.979011 95.4362)
+        (xy 129.939765 95.403733)
+        (xy 129.824002 95.307965)
+        (xy 129.824 95.307964)
+        (xy 129.820275 95.304882)
+        (xy 129.639055 95.206897)
+        (xy 129.575855 95.187333)
+        (xy 129.446875 95.147407)
+        (xy 129.446871 95.147406)
+        (xy 129.442254 95.145977)
+        (xy 129.437446 95.145472)
+        (xy 129.437443 95.145471)
+        (xy 129.242185 95.124949)
+        (xy 129.242183 95.124949)
+        (xy 129.237369 95.124443)
+        (xy 129.177354 95.129905)
+        (xy 129.037022 95.142675)
+        (xy 129.037017 95.142676)
+        (xy 129.032203 95.143114)
+        (xy 128.834572 95.20128)
+        (xy 128.830288 95.203519)
+        (xy 128.830287 95.20352)
+        (xy 128.819566 95.209125)
+        (xy 128.652002 95.296726)
+        (xy 128.648231 95.299758)
+        (xy 128.49522 95.422781)
+        (xy 128.495217 95.422783)
+        (xy 128.491447 95.425815)
+        (xy 128.488333 95.429526)
+        (xy 128.488332 95.429527)
+        (xy 128.479585 95.439952)
+        (xy 128.359024 95.58363)
+        (xy 128.356689 95.587878)
+        (xy 128.356688 95.587879)
+        (xy 128.349955 95.600126)
+        (xy 128.259776 95.764162)
+        (xy 128.197484 95.960532)
+        (xy 128.196944 95.965344)
+        (xy 128.196944 95.965345)
+        (xy 128.195865 95.97497)
+        (xy 128.17452 96.165262)
+        (xy 127.5 96.165262)
+        (xy 127.5 94.5)
+        (xy 126.796328 93.796328)
+        (xy 126.789804 93.789129)
+        (xy 126.674715 93.648893)
+        (xy 126.663933 93.632756)
+        (xy 126.580717 93.47707)
+        (xy 126.57329 93.45914)
+        (xy 126.522045 93.290207)
+        (xy 126.518259 93.271173)
+        (xy 126.500477 93.090629)
+        (xy 126.5 93.080925)
+        (xy 126.5 91.898823)
+        (xy 130.494391 91.898823)
+        (xy 130.495306 91.90582)
+        (xy 130.495306 91.905821)
+        (xy 130.496814 91.917354)
+        (xy 130.51298 92.040979)
+        (xy 130.515821 92.047435)
+        (xy 130.515821 92.047436)
+        (xy 130.523586 92.065082)
+        (xy 130.57072 92.172203)
+        (xy 130.583792 92.187754)
+        (xy 130.658431 92.276549)
+        (xy 130.658434 92.276551)
+        (xy 130.66297 92.281948)
+        (xy 130.668841 92.285856)
+        (xy 130.668842 92.285857)
+        (xy 130.681143 92.294045)
+        (xy 130.782313 92.36139)
+        (xy 130.88292 92.392821)
+        (xy 130.912425 92.402039)
+        (xy 130.912426 92.402039)
+        (xy 130.919157 92.404142)
+        (xy 130.990828 92.405456)
+        (xy 131.055445 92.406641)
+        (xy 131.055447 92.406641)
+        (xy 131.062499 92.40677)
+        (xy 131.069302 92.404915)
+        (xy 131.069304 92.404915)
+        (xy 131.144503 92.384413)
+        (xy 131.200817 92.36906)
+        (xy 131.322991 92.294045)
+        (xy 131.330403 92.285857)
+        (xy 131.414468 92.192982)
+        (xy 131.4192 92.187754)
+        (xy 131.48171 92.058733)
+        (xy 131.485875 92.033982)
+        (xy 131.504862 91.921124)
+        (xy 131.504862 91.92112)
+        (xy 131.505496 91.917354)
+        (xy 131.505647 91.905)
+        (xy 131.485323 91.763082)
+        (xy 131.425984 91.632572)
+        (xy 131.3324 91.523963)
+        (xy 131.212095 91.445985)
+        (xy 131.074739 91.404907)
+        (xy 130.991497 91.404398)
+        (xy 130.938427 91.404074)
+        (xy 130.938426 91.404074)
+        (xy 130.931376 91.404031)
+        (xy 130.924599 91.405968)
+        (xy 130.924598 91.405968)
+        (xy 130.800309 91.44149)
+        (xy 130.800307 91.441491)
+        (xy 130.793529 91.443428)
+        (xy 130.67228 91.51993)
+        (xy 130.667613 91.525214)
+        (xy 130.667611 91.525216)
+        (xy 130.582044 91.622103)
+        (xy 130.582042 91.622105)
+        (xy 130.577377 91.627388)
+        (xy 130.516447 91.757163)
+        (xy 130.494391 91.898823)
+        (xy 126.5 91.898823)
+        (xy 126.5 90.628823)
+        (xy 130.494391 90.628823)
+        (xy 130.495306 90.63582)
+        (xy 130.495306 90.635821)
+        (xy 130.496814 90.647354)
+        (xy 130.51298 90.770979)
+        (xy 130.515821 90.777435)
+        (xy 130.515821 90.777436)
+        (xy 130.523586 90.795082)
+        (xy 130.57072 90.902203)
+        (xy 130.583792 90.917754)
+        (xy 130.658431 91.006549)
+        (xy 130.658434 91.006551)
+        (xy 130.66297 91.011948)
+        (xy 130.668841 91.015856)
+        (xy 130.668842 91.015857)
+        (xy 130.681143 91.024045)
+        (xy 130.782313 91.09139)
+        (xy 130.88292 91.122821)
+        (xy 130.912425 91.132039)
+        (xy 130.912426 91.132039)
+        (xy 130.919157 91.134142)
+        (xy 130.990828 91.135456)
+        (xy 131.055445 91.136641)
+        (xy 131.055447 91.136641)
+        (xy 131.062499 91.13677)
+        (xy 131.069302 91.134915)
+        (xy 131.069304 91.134915)
+        (xy 131.144503 91.114413)
+        (xy 131.200817 91.09906)
+        (xy 131.322991 91.024045)
+        (xy 131.330403 91.015857)
+        (xy 131.414468 90.922982)
+        (xy 131.4192 90.917754)
+        (xy 131.48171 90.788733)
+        (xy 131.485875 90.763982)
+        (xy 131.504862 90.651124)
+        (xy 131.504862 90.65112)
+        (xy 131.505496 90.647354)
+        (xy 131.505647 90.635)
+        (xy 131.485323 90.493082)
+        (xy 131.425984 90.362572)
+        (xy 131.3324 90.253963)
+        (xy 131.212095 90.175985)
+        (xy 131.074739 90.134907)
+        (xy 130.991497 90.134398)
+        (xy 130.938427 90.134074)
+        (xy 130.938426 90.134074)
+        (xy 130.931376 90.134031)
+        (xy 130.924599 90.135968)
+        (xy 130.924598 90.135968)
+        (xy 130.800309 90.17149)
+        (xy 130.800307 90.171491)
+        (xy 130.793529 90.173428)
+        (xy 130.67228 90.24993)
+        (xy 130.667613 90.255214)
+        (xy 130.667611 90.255216)
+        (xy 130.582044 90.352103)
+        (xy 130.582042 90.352105)
+        (xy 130.577377 90.357388)
+        (xy 130.516447 90.487163)
+        (xy 130.494391 90.628823)
+        (xy 126.5 90.628823)
+        (xy 126.5 88.75)
+        (xy 112.754861 88.75)
+        (xy 112.745157 88.749523)
+        (xy 112.564612 88.731741)
+        (xy 112.545582 88.727955)
+        (xy 112.461113 88.702332)
+        (xy 112.376647 88.67671)
+        (xy 112.358717 88.669283)
+        (xy 112.203031 88.586067)
+        (xy 112.186894 88.575285)
+        (xy 112.131837 88.530102)
+        (xy 112.050427 88.46329)
+        (xy 112.03671 88.449573)
+        (xy 111.924715 88.313106)
+        (xy 111.913933 88.296969)
+        (xy 111.830717 88.141283)
+        (xy 111.82329 88.123353)
+        (xy 111.812815 88.088823)
+        (xy 130.494391 88.088823)
+        (xy 130.495306 88.09582)
+        (xy 130.495306 88.095821)
+        (xy 130.496814 88.107354)
+        (xy 130.51298 88.230979)
+        (xy 130.515821 88.237435)
+        (xy 130.515821 88.237436)
+        (xy 130.523586 88.255082)
+        (xy 130.57072 88.362203)
+        (xy 130.583792 88.377754)
+        (xy 130.658431 88.466549)
+        (xy 130.658434 88.466551)
+        (xy 130.66297 88.471948)
+        (xy 130.668841 88.475856)
+        (xy 130.668842 88.475857)
+        (xy 130.681143 88.484045)
+        (xy 130.782313 88.55139)
+        (xy 130.858798 88.575285)
+        (xy 130.912425 88.592039)
+        (xy 130.912426 88.592039)
+        (xy 130.919157 88.594142)
+        (xy 130.990828 88.595456)
+        (xy 131.055445 88.596641)
+        (xy 131.055447 88.596641)
+        (xy 131.062499 88.59677)
+        (xy 131.069302 88.594915)
+        (xy 131.069304 88.594915)
+        (xy 131.144503 88.574413)
+        (xy 131.200817 88.55906)
+        (xy 131.322991 88.484045)
+        (xy 131.330403 88.475857)
+        (xy 131.414468 88.382982)
+        (xy 131.4192 88.377754)
+        (xy 131.48171 88.248733)
+        (xy 131.485875 88.223982)
+        (xy 131.504862 88.111124)
+        (xy 131.504862 88.11112)
+        (xy 131.505496 88.107354)
+        (xy 131.505647 88.095)
+        (xy 131.485323 87.953082)
+        (xy 131.425984 87.822572)
+        (xy 131.3324 87.713963)
+        (xy 131.212095 87.635985)
+        (xy 131.074739 87.594907)
+        (xy 130.991497 87.594398)
+        (xy 130.938427 87.594074)
+        (xy 130.938426 87.594074)
+        (xy 130.931376 87.594031)
+        (xy 130.924599 87.595968)
+        (xy 130.924598 87.595968)
+        (xy 130.800309 87.63149)
+        (xy 130.800307 87.631491)
+        (xy 130.793529 87.633428)
+        (xy 130.67228 87.70993)
+        (xy 130.667613 87.715214)
+        (xy 130.667611 87.715216)
+        (xy 130.582044 87.812103)
+        (xy 130.582042 87.812105)
+        (xy 130.577377 87.817388)
+        (xy 130.516447 87.947163)
+        (xy 130.494391 88.088823)
+        (xy 111.812815 88.088823)
+        (xy 111.772045 87.95442)
+        (xy 111.768259 87.935386)
+        (xy 111.757781 87.828996)
+        (xy 111.750477 87.754843)
+        (xy 111.75 87.745139)
+        (xy 111.75 86.743823)
+        (xy 123.244391 86.743823)
+        (xy 123.245306 86.75082)
+        (xy 123.245306 86.750821)
+        (xy 123.246814 86.762354)
+        (xy 123.26298 86.885979)
+        (xy 123.265821 86.892435)
+        (xy 123.265821 86.892436)
+        (xy 123.273586 86.910082)
+        (xy 123.32072 87.017203)
+        (xy 123.333792 87.032754)
+        (xy 123.408431 87.121549)
+        (xy 123.408434 87.121551)
+        (xy 123.41297 87.126948)
+        (xy 123.418841 87.130856)
+        (xy 123.418842 87.130857)
+        (xy 123.431143 87.139045)
+        (xy 123.532313 87.20639)
+        (xy 123.63292 87.237821)
+        (xy 123.662425 87.247039)
+        (xy 123.662426 87.247039)
+        (xy 123.669157 87.249142)
+        (xy 123.740828 87.250456)
+        (xy 123.805445 87.251641)
+        (xy 123.805447 87.251641)
+        (xy 123.812499 87.25177)
+        (xy 123.819302 87.249915)
+        (xy 123.819304 87.249915)
+        (xy 123.894503 87.229413)
+        (xy 123.950817 87.21406)
+        (xy 124.072991 87.139045)
+        (xy 124.080403 87.130857)
+        (xy 124.164468 87.037982)
+        (xy 124.1692 87.032754)
+        (xy 124.23171 86.903733)
+        (xy 124.235875 86.878982)
+        (xy 124.254862 86.766124)
+        (xy 124.254862 86.76612)
+        (xy 124.255496 86.762354)
+        (xy 124.255647 86.75)
+        (xy 124.235323 86.608082)
+        (xy 124.186986 86.50177)
+        (xy 124.178905 86.483996)
+        (xy 124.178904 86.483995)
+        (xy 124.175984 86.477572)
+        (xy 124.092649 86.380857)
+        (xy 124.087005 86.374307)
+        (xy 124.087004 86.374306)
+        (xy 124.0824 86.368963)
+        (xy 123.962095 86.290985)
+        (xy 123.824739 86.249907)
+        (xy 123.741497 86.249398)
+        (xy 123.688427 86.249074)
+        (xy 123.688426 86.249074)
+        (xy 123.681376 86.249031)
+        (xy 123.674599 86.250968)
+        (xy 123.674598 86.250968)
+        (xy 123.550309 86.28649)
+        (xy 123.550307 86.286491)
+        (xy 123.543529 86.288428)
+        (xy 123.42228 86.36493)
+        (xy 123.417613 86.370214)
+        (xy 123.417611 86.370216)
+        (xy 123.332044 86.467103)
+        (xy 123.332042 86.467105)
+        (xy 123.327377 86.472388)
+        (xy 123.266447 86.602163)
+        (xy 123.244391 86.743823)
+        (xy 111.75 86.743823)
+        (xy 111.75 86.5)
+        (xy 111.625 86.375)
+        (xy 111.621329 86.370216)
+        (xy 111.56511 86.29695)
+        (xy 111.552188 86.274567)
+        (xy 111.51953 86.195723)
+        (xy 111.512841 86.17076)
+        (xy 111.500847 86.079657)
+        (xy 111.5 86.066735)
+        (xy 111.5 85.993823)
+        (xy 114.176891 85.993823)
+        (xy 114.177806 86.00082)
+        (xy 114.177806 86.000821)
+        (xy 114.179104 86.010747)
+        (xy 114.19548 86.135979)
+        (xy 114.198321 86.142435)
+        (xy 114.198321 86.142436)
+        (xy 114.246373 86.251641)
+        (xy 114.25322 86.267203)
+        (xy 114.271512 86.288964)
+        (xy 114.340931 86.371549)
+        (xy 114.340934 86.371551)
+        (xy 114.34547 86.376948)
+        (xy 114.351341 86.380856)
+        (xy 114.351342 86.380857)
+        (xy 114.363643 86.389045)
+        (xy 114.464813 86.45639)
+        (xy 114.56542 86.487821)
+        (xy 114.594925 86.497039)
+        (xy 114.594926 86.497039)
+        (xy 114.601657 86.499142)
+        (xy 114.673328 86.500456)
+        (xy 114.737945 86.501641)
+        (xy 114.737947 86.501641)
+        (xy 114.744999 86.50177)
+        (xy 114.751802 86.499915)
+        (xy 114.751804 86.499915)
+        (xy 114.85277 86.472388)
+        (xy 114.883317 86.46406)
+        (xy 115.005491 86.389045)
+        (xy 115.012903 86.380857)
+        (xy 115.096968 86.287982)
+        (xy 115.1017 86.282754)
+        (xy 115.16421 86.153733)
+        (xy 115.176209 86.082414)
+        (xy 115.204509 86.028167)
+        (xy 115.25929 86.000915)
+        (xy 115.275652 85.999857)
+        (xy 115.372945 86.001641)
+        (xy 115.372947 86.001641)
+        (xy 115.379999 86.00177)
+        (xy 115.386802 85.999915)
+        (xy 115.386804 85.999915)
+        (xy 115.462003 85.979413)
+        (xy 115.518317 85.96406)
+        (xy 115.640491 85.889045)
+        (xy 115.6496 85.878982)
+        (xy 115.731968 85.787982)
+        (xy 115.7367 85.782754)
+        (xy 115.79921 85.653733)
+        (xy 115.800714 85.644797)
+        (xy 115.822362 85.516124)
+        (xy 115.822362 85.51612)
+        (xy 115.822996 85.512354)
+        (xy 115.823147 85.5)
+        (xy 115.802823 85.358082)
+        (xy 115.774388 85.295543)
+        (xy 115.746405 85.233996)
+        (xy 115.746404 85.233995)
+        (xy 115.743484 85.227572)
+        (xy 115.67986 85.153733)
+        (xy 115.654505 85.124307)
+        (xy 115.654504 85.124306)
+        (xy 115.6499 85.118963)
+        (xy 115.529595 85.040985)
+        (xy 115.392239 84.999907)
+        (xy 115.308997 84.999398)
+        (xy 115.255927 84.999074)
+        (xy 115.255926 84.999074)
+        (xy 115.248876 84.999031)
+        (xy 115.242099 85.000968)
+        (xy 115.242098 85.000968)
+        (xy 115.117809 85.03649)
+        (xy 115.117807 85.036491)
+        (xy 115.111029 85.038428)
+        (xy 114.98978 85.11493)
+        (xy 114.985113 85.120214)
+        (xy 114.985111 85.120216)
+        (xy 114.899544 85.217103)
+        (xy 114.899542 85.217105)
+        (xy 114.894877 85.222388)
+        (xy 114.891881 85.22877)
+        (xy 114.89188 85.228771)
+        (xy 114.88129 85.251327)
+        (xy 114.833947 85.352163)
+        (xy 114.829019 85.383814)
+        (xy 114.824016 85.415947)
+        (xy 114.796382 85.470536)
+        (xy 114.741938 85.498456)
+        (xy 114.725595 85.499714)
+        (xy 114.639629 85.499188)
+        (xy 114.620927 85.499074)
+        (xy 114.620926 85.499074)
+        (xy 114.613876 85.499031)
+        (xy 114.607099 85.500968)
+        (xy 114.607098 85.500968)
+        (xy 114.482809 85.53649)
+        (xy 114.482807 85.536491)
+        (xy 114.476029 85.538428)
+        (xy 114.35478 85.61493)
+        (xy 114.350113 85.620214)
+        (xy 114.350111 85.620216)
+        (xy 114.264544 85.717103)
+        (xy 114.264542 85.717105)
+        (xy 114.259877 85.722388)
+        (xy 114.256881 85.72877)
+        (xy 114.25688 85.728771)
+        (xy 114.246143 85.751641)
+        (xy 114.198947 85.852163)
+        (xy 114.197862 85.859132)
+        (xy 114.197861 85.859135)
+        (xy 114.189929 85.910082)
+        (xy 114.176891 85.993823)
+        (xy 111.5 85.993823)
+        (xy 111.5 85.25)
+        (xy 111.243823 84.993823)
+        (xy 113.169391 84.993823)
+        (xy 113.170306 85.00082)
+        (xy 113.170306 85.000821)
+        (xy 113.185245 85.115066)
+        (xy 113.18798 85.135979)
+        (xy 113.190821 85.142435)
+        (xy 113.190821 85.142436)
+        (xy 113.238873 85.251641)
+        (xy 113.24572 85.267203)
+        (xy 113.285718 85.314786)
+        (xy 113.333431 85.371549)
+        (xy 113.333434 85.371551)
+        (xy 113.33797 85.376948)
+        (xy 113.343841 85.380856)
+        (xy 113.343842 85.380857)
+        (xy 113.351537 85.385979)
+        (xy 113.457313 85.45639)
+        (xy 113.55792 85.487821)
+        (xy 113.587425 85.497039)
+        (xy 113.587426 85.497039)
+        (xy 113.594157 85.499142)
+        (xy 113.665828 85.500456)
+        (xy 113.730445 85.501641)
+        (xy 113.730447 85.501641)
+        (xy 113.737499 85.50177)
+        (xy 113.744302 85.499915)
+        (xy 113.744304 85.499915)
+        (xy 113.84527 85.472388)
+        (xy 113.875817 85.46406)
+        (xy 113.997991 85.389045)
+        (xy 114.005403 85.380857)
+        (xy 114.089468 85.287982)
+        (xy 114.0942 85.282754)
+        (xy 114.15671 85.153733)
+        (xy 114.159182 85.139045)
+        (xy 114.179862 85.016124)
+        (xy 114.179862 85.01612)
+        (xy 114.180496 85.012354)
+        (xy 114.180647 85)
+        (xy 114.160323 84.858082)
+        (xy 114.127772 84.78649)
+        (xy 114.108373 84.743823)
+        (xy 117.494391 84.743823)
+        (xy 117.495306 84.75082)
+        (xy 117.495306 84.750821)
+        (xy 117.510245 84.865066)
+        (xy 117.51298 84.885979)
+        (xy 117.515821 84.892435)
+        (xy 117.515821 84.892436)
+        (xy 117.563512 85.000821)
+        (xy 117.57072 85.017203)
+        (xy 117.589012 85.038964)
+        (xy 117.658431 85.121549)
+        (xy 117.658434 85.121551)
+        (xy 117.66297 85.126948)
+        (xy 117.668841 85.130856)
+        (xy 117.668842 85.130857)
+        (xy 117.681143 85.139045)
+        (xy 117.782313 85.20639)
+        (xy 117.879809 85.236849)
+        (xy 117.912425 85.247039)
+        (xy 117.912426 85.247039)
+        (xy 117.919157 85.249142)
+        (xy 117.990828 85.250456)
+        (xy 118.055445 85.251641)
+        (xy 118.055447 85.251641)
+        (xy 118.062499 85.25177)
+        (xy 118.069302 85.249915)
+        (xy 118.069304 85.249915)
+        (xy 118.17027 85.222388)
+        (xy 118.200817 85.21406)
+        (xy 118.322991 85.139045)
+        (xy 118.330403 85.130857)
+        (xy 118.414468 85.037982)
+        (xy 118.4192 85.032754)
+        (xy 118.48171 84.903733)
+        (xy 118.485875 84.878982)
+        (xy 118.504862 84.766124)
+        (xy 118.504862 84.76612)
+        (xy 118.505496 84.762354)
+        (xy 118.505647 84.75)
+        (xy 118.504762 84.743823)
+        (xy 119.494391 84.743823)
+        (xy 119.495306 84.75082)
+        (xy 119.495306 84.750821)
+        (xy 119.510245 84.865066)
+        (xy 119.51298 84.885979)
+        (xy 119.515821 84.892435)
+        (xy 119.515821 84.892436)
+        (xy 119.563512 85.000821)
+        (xy 119.57072 85.017203)
+        (xy 119.589012 85.038964)
+        (xy 119.658431 85.121549)
+        (xy 119.658434 85.121551)
+        (xy 119.66297 85.126948)
+        (xy 119.668841 85.130856)
+        (xy 119.668842 85.130857)
+        (xy 119.78123 85.205669)
+        (xy 119.819193 85.253653)
+        (xy 119.821702 85.314786)
+        (xy 119.791178 85.362471)
+        (xy 119.78728 85.36493)
+        (xy 119.782608 85.37022)
+        (xy 119.782607 85.370221)
+        (xy 119.697044 85.467103)
+        (xy 119.697042 85.467105)
+        (xy 119.692377 85.472388)
+        (xy 119.689381 85.47877)
+        (xy 119.68938 85.478771)
+        (xy 119.678643 85.501641)
+        (xy 119.631447 85.602163)
+        (xy 119.630362 85.609132)
+        (xy 119.630361 85.609135)
+        (xy 119.622429 85.660082)
+        (xy 119.609391 85.743823)
+        (xy 119.610306 85.75082)
+        (xy 119.610306 85.750821)
+        (xy 119.611814 85.762354)
+        (xy 119.62798 85.885979)
+        (xy 119.630821 85.892435)
+        (xy 119.630821 85.892436)
+        (xy 119.678873 86.001641)
+        (xy 119.68572 86.017203)
+        (xy 119.698792 86.032754)
+        (xy 119.773431 86.121549)
+        (xy 119.773434 86.121551)
+        (xy 119.77797 86.126948)
+        (xy 119.783841 86.130856)
+        (xy 119.783842 86.130857)
+        (xy 119.791537 86.135979)
+        (xy 119.897313 86.20639)
+        (xy 119.99792 86.237821)
+        (xy 120.027425 86.247039)
+        (xy 120.027426 86.247039)
+        (xy 120.034157 86.249142)
+        (xy 120.105828 86.250456)
+        (xy 120.170445 86.251641)
+        (xy 120.170447 86.251641)
+        (xy 120.177499 86.25177)
+        (xy 120.184302 86.249915)
+        (xy 120.184304 86.249915)
+        (xy 120.259503 86.229413)
+        (xy 120.315817 86.21406)
+        (xy 120.437991 86.139045)
+        (xy 120.445403 86.130857)
+        (xy 120.529468 86.037982)
+        (xy 120.5342 86.032754)
+        (xy 120.553062 85.993823)
+        (xy 120.879391 85.993823)
+        (xy 120.880306 86.00082)
+        (xy 120.880306 86.000821)
+        (xy 120.881604 86.010747)
+        (xy 120.89798 86.135979)
+        (xy 120.900821 86.142435)
+        (xy 120.900821 86.142436)
+        (xy 120.948873 86.251641)
+        (xy 120.95572 86.267203)
+        (xy 120.974012 86.288964)
+        (xy 121.043431 86.371549)
+        (xy 121.043434 86.371551)
+        (xy 121.04797 86.376948)
+        (xy 121.053841 86.380856)
+        (xy 121.053842 86.380857)
+        (xy 121.066143 86.389045)
+        (xy 121.167313 86.45639)
+        (xy 121.26792 86.487821)
+        (xy 121.297425 86.497039)
+        (xy 121.297426 86.497039)
+        (xy 121.304157 86.499142)
+        (xy 121.375828 86.500456)
+        (xy 121.440445 86.501641)
+        (xy 121.440447 86.501641)
+        (xy 121.447499 86.50177)
+        (xy 121.454302 86.499915)
+        (xy 121.454304 86.499915)
+        (xy 121.55527 86.472388)
+        (xy 121.585817 86.46406)
+        (xy 121.707991 86.389045)
+        (xy 121.715403 86.380857)
+        (xy 121.799468 86.287982)
+        (xy 121.8042 86.282754)
+        (xy 121.86671 86.153733)
+        (xy 121.86788 86.146777)
+        (xy 121.867881 86.146775)
+        (xy 121.889862 86.016124)
+        (xy 121.889862 86.01612)
+        (xy 121.890496 86.012354)
+        (xy 121.890647 86)
+        (xy 121.889762 85.993823)
+        (xy 122.244391 85.993823)
+        (xy 122.245306 86.00082)
+        (xy 122.245306 86.000821)
+        (xy 122.246604 86.010747)
+        (xy 122.26298 86.135979)
+        (xy 122.265821 86.142435)
+        (xy 122.265821 86.142436)
+        (xy 122.313873 86.251641)
+        (xy 122.32072 86.267203)
+        (xy 122.339012 86.288964)
+        (xy 122.408431 86.371549)
+        (xy 122.408434 86.371551)
+        (xy 122.41297 86.376948)
+        (xy 122.418841 86.380856)
+        (xy 122.418842 86.380857)
+        (xy 122.431143 86.389045)
+        (xy 122.532313 86.45639)
+        (xy 122.63292 86.487821)
+        (xy 122.662425 86.497039)
+        (xy 122.662426 86.497039)
+        (xy 122.669157 86.499142)
+        (xy 122.740828 86.500456)
+        (xy 122.805445 86.501641)
+        (xy 122.805447 86.501641)
+        (xy 122.812499 86.50177)
+        (xy 122.819302 86.499915)
+        (xy 122.819304 86.499915)
+        (xy 122.92027 86.472388)
+        (xy 122.950817 86.46406)
+        (xy 123.072991 86.389045)
+        (xy 123.080403 86.380857)
+        (xy 123.164468 86.287982)
+        (xy 123.1692 86.282754)
+        (xy 123.23171 86.153733)
+        (xy 123.23288 86.146777)
+        (xy 123.232881 86.146775)
+        (xy 123.254862 86.016124)
+        (xy 123.254862 86.01612)
+        (xy 123.255496 86.012354)
+        (xy 123.255647 86)
+        (xy 123.235323 85.858082)
+        (xy 123.198189 85.776409)
+        (xy 123.178905 85.733996)
+        (xy 123.178904 85.733995)
+        (xy 123.175984 85.727572)
+        (xy 123.11236 85.653733)
+        (xy 123.087005 85.624307)
+        (xy 123.087004 85.624306)
+        (xy 123.0824 85.618963)
+        (xy 122.962095 85.540985)
+        (xy 122.824739 85.499907)
+        (xy 122.741497 85.499398)
+        (xy 122.688427 85.499074)
+        (xy 122.688426 85.499074)
+        (xy 122.681376 85.499031)
+        (xy 122.674599 85.500968)
+        (xy 122.674598 85.500968)
+        (xy 122.550309 85.53649)
+        (xy 122.550307 85.536491)
+        (xy 122.543529 85.538428)
+        (xy 122.42228 85.61493)
+        (xy 122.417613 85.620214)
+        (xy 122.417611 85.620216)
+        (xy 122.332044 85.717103)
+        (xy 122.332042 85.717105)
+        (xy 122.327377 85.722388)
+        (xy 122.324381 85.72877)
+        (xy 122.32438 85.728771)
+        (xy 122.313643 85.751641)
+        (xy 122.266447 85.852163)
+        (xy 122.265362 85.859132)
+        (xy 122.265361 85.859135)
+        (xy 122.257429 85.910082)
+        (xy 122.244391 85.993823)
+        (xy 121.889762 85.993823)
+        (xy 121.870323 85.858082)
+        (xy 121.833189 85.776409)
+        (xy 121.813905 85.733996)
+        (xy 121.813904 85.733995)
+        (xy 121.810984 85.727572)
+        (xy 121.74736 85.653733)
+        (xy 121.722005 85.624307)
+        (xy 121.722004 85.624306)
+        (xy 121.7174 85.618963)
+        (xy 121.597095 85.540985)
+        (xy 121.459739 85.499907)
+        (xy 121.376497 85.499398)
+        (xy 121.323427 85.499074)
+        (xy 121.323426 85.499074)
+        (xy 121.316376 85.499031)
+        (xy 121.309599 85.500968)
+        (xy 121.309598 85.500968)
+        (xy 121.185309 85.53649)
+        (xy 121.185307 85.536491)
+        (xy 121.178529 85.538428)
+        (xy 121.05728 85.61493)
+        (xy 121.052613 85.620214)
+        (xy 121.052611 85.620216)
+        (xy 120.967044 85.717103)
+        (xy 120.967042 85.717105)
+        (xy 120.962377 85.722388)
+        (xy 120.959381 85.72877)
+        (xy 120.95938 85.728771)
+        (xy 120.948643 85.751641)
+        (xy 120.901447 85.852163)
+        (xy 120.900362 85.859132)
+        (xy 120.900361 85.859135)
+        (xy 120.892429 85.910082)
+        (xy 120.879391 85.993823)
+        (xy 120.553062 85.993823)
+        (xy 120.59671 85.903733)
+        (xy 120.599182 85.889045)
+        (xy 120.619862 85.766124)
+        (xy 120.619862 85.76612)
+        (xy 120.620496 85.762354)
+        (xy 120.620647 85.75)
+        (xy 120.600323 85.608082)
+        (xy 120.558985 85.517164)
+        (xy 120.543905 85.483996)
+        (xy 120.543904 85.483995)
+        (xy 120.540984 85.477572)
+        (xy 120.47736 85.403733)
+        (xy 120.452005 85.374307)
+        (xy 120.452004 85.374306)
+        (xy 120.4474 85.368963)
+        (xy 120.334127 85.295543)
+        (xy 120.295581 85.248027)
+        (xy 120.295357 85.243823)
+        (xy 123.244391 85.243823)
+        (xy 123.245306 85.25082)
+        (xy 123.245306 85.250821)
+        (xy 123.261454 85.374307)
+        (xy 123.26298 85.385979)
+        (xy 123.265821 85.392435)
+        (xy 123.265821 85.392436)
+        (xy 123.313873 85.501641)
+        (xy 123.32072 85.517203)
+        (xy 123.339012 85.538964)
+        (xy 123.408431 85.621549)
+        (xy 123.408434 85.621551)
+        (xy 123.41297 85.626948)
+        (xy 123.418841 85.630856)
+        (xy 123.418842 85.630857)
+        (xy 123.431143 85.639045)
+        (xy 123.532313 85.70639)
+        (xy 123.629809 85.736849)
+        (xy 123.662425 85.747039)
+        (xy 123.662426 85.747039)
+        (xy 123.669157 85.749142)
+        (xy 123.740828 85.750456)
+        (xy 123.805445 85.751641)
+        (xy 123.805447 85.751641)
+        (xy 123.812499 85.75177)
+        (xy 123.819302 85.749915)
+        (xy 123.819304 85.749915)
+        (xy 123.92027 85.722388)
+        (xy 123.950817 85.71406)
+        (xy 124.072991 85.639045)
+        (xy 124.080403 85.630857)
+        (xy 124.164468 85.537982)
+        (xy 124.1692 85.532754)
+        (xy 124.23171 85.403733)
+        (xy 124.234182 85.389045)
+        (xy 124.254862 85.266124)
+        (xy 124.254862 85.26612)
+        (xy 124.255496 85.262354)
+        (xy 124.255647 85.25)
+        (xy 124.235323 85.108082)
+        (xy 124.194003 85.017203)
+        (xy 124.178905 84.983996)
+        (xy 124.178904 84.983995)
+        (xy 124.175984 84.977572)
+        (xy 124.11236 84.903733)
+        (xy 124.087005 84.874307)
+        (xy 124.087004 84.874306)
+        (xy 124.0824 84.868963)
+        (xy 123.962095 84.790985)
+        (xy 123.824739 84.749907)
+        (xy 123.741497 84.749398)
+        (xy 123.688427 84.749074)
+        (xy 123.688426 84.749074)
+        (xy 123.681376 84.749031)
+        (xy 123.674599 84.750968)
+        (xy 123.674598 84.750968)
+        (xy 123.550309 84.78649)
+        (xy 123.550307 84.786491)
+        (xy 123.543529 84.788428)
+        (xy 123.42228 84.86493)
+        (xy 123.417613 84.870214)
+        (xy 123.417611 84.870216)
+        (xy 123.332044 84.967103)
+        (xy 123.332042 84.967105)
+        (xy 123.327377 84.972388)
+        (xy 123.324381 84.97877)
+        (xy 123.32438 84.978771)
+        (xy 123.313507 85.001929)
+        (xy 123.266447 85.102163)
+        (xy 123.265362 85.109132)
+        (xy 123.265361 85.109135)
+        (xy 123.257429 85.160082)
+        (xy 123.244391 85.243823)
+        (xy 120.295357 85.243823)
+        (xy 120.292325 85.186928)
+        (xy 120.322966 85.139061)
+        (xy 120.322991 85.139045)
+        (xy 120.4192 85.032754)
+        (xy 120.48171 84.903733)
+        (xy 120.485875 84.878982)
+        (xy 120.504862 84.766124)
+        (xy 120.504862 84.76612)
+        (xy 120.505496 84.762354)
+        (xy 120.505647 84.75)
+        (xy 120.485323 84.608082)
+        (xy 120.441524 84.51175)
+        (xy 120.428905 84.483996)
+        (xy 120.428904 84.483995)
+        (xy 120.425984 84.477572)
+        (xy 120.3324 84.368963)
+        (xy 120.212095 84.290985)
+        (xy 120.074739 84.249907)
+        (xy 119.991497 84.249398)
+        (xy 119.938427 84.249074)
+        (xy 119.938426 84.249074)
+        (xy 119.931376 84.249031)
+        (xy 119.924599 84.250968)
+        (xy 119.924598 84.250968)
+        (xy 119.800309 84.28649)
+        (xy 119.800307 84.286491)
+        (xy 119.793529 84.288428)
+        (xy 119.67228 84.36493)
+        (xy 119.667613 84.370214)
+        (xy 119.667611 84.370216)
+        (xy 119.582044 84.467103)
+        (xy 119.582042 84.467105)
+        (xy 119.577377 84.472388)
+        (xy 119.574381 84.47877)
+        (xy 119.57438 84.478771)
+        (xy 119.563507 84.501929)
+        (xy 119.516447 84.602163)
+        (xy 119.515362 84.609132)
+        (xy 119.515361 84.609135)
+        (xy 119.503035 84.688302)
+        (xy 119.494391 84.743823)
+        (xy 118.504762 84.743823)
+        (xy 118.485323 84.608082)
+        (xy 118.441524 84.51175)
+        (xy 118.428905 84.483996)
+        (xy 118.428904 84.483995)
+        (xy 118.425984 84.477572)
+        (xy 118.3324 84.368963)
+        (xy 118.212095 84.290985)
+        (xy 118.074739 84.249907)
+        (xy 117.991497 84.249398)
+        (xy 117.938427 84.249074)
+        (xy 117.938426 84.249074)
+        (xy 117.931376 84.249031)
+        (xy 117.924599 84.250968)
+        (xy 117.924598 84.250968)
+        (xy 117.800309 84.28649)
+        (xy 117.800307 84.286491)
+        (xy 117.793529 84.288428)
+        (xy 117.67228 84.36493)
+        (xy 117.667613 84.370214)
+        (xy 117.667611 84.370216)
+        (xy 117.582044 84.467103)
+        (xy 117.582042 84.467105)
+        (xy 117.577377 84.472388)
+        (xy 117.574381 84.47877)
+        (xy 117.57438 84.478771)
+        (xy 117.563507 84.501929)
+        (xy 117.516447 84.602163)
+        (xy 117.515362 84.609132)
+        (xy 117.515361 84.609135)
+        (xy 117.503035 84.688302)
+        (xy 117.494391 84.743823)
+        (xy 114.108373 84.743823)
+        (xy 114.103905 84.733996)
+        (xy 114.103904 84.733995)
+        (xy 114.100984 84.727572)
+        (xy 114.0074 84.618963)
+        (xy 113.887095 84.540985)
+        (xy 113.749739 84.499907)
+        (xy 113.666497 84.499398)
+        (xy 113.613427 84.499074)
+        (xy 113.613426 84.499074)
+        (xy 113.606376 84.499031)
+        (xy 113.599599 84.500968)
+        (xy 113.599598 84.500968)
+        (xy 113.475309 84.53649)
+        (xy 113.475307 84.536491)
+        (xy 113.468529 84.538428)
+        (xy 113.34728 84.61493)
+        (xy 113.342613 84.620214)
+        (xy 113.342611 84.620216)
+        (xy 113.257044 84.717103)
+        (xy 113.257042 84.717105)
+        (xy 113.252377 84.722388)
+        (xy 113.249381 84.72877)
+        (xy 113.24938 84.728771)
+        (xy 113.238507 84.751929)
+        (xy 113.191447 84.852163)
+        (xy 113.190362 84.859132)
+        (xy 113.190361 84.859135)
+        (xy 113.182429 84.910082)
+        (xy 113.169391 84.993823)
+        (xy 111.243823 84.993823)
+        (xy 111.046328 84.796328)
+        (xy 111.039804 84.789129)
+        (xy 110.965776 84.698926)
+        (xy 110.943476 84.641949)
+        (xy 110.943609 84.628353)
+        (xy 110.943928 84.624307)
+        (xy 110.946706 84.589)
+        (xy 110.95231 84.517806)
+        (xy 110.953244 84.511751)
+        (xy 110.953234 84.51175)
+        (xy 110.953875 84.506182)
+        (xy 110.955142 84.500716)
+        (xy 110.955143 84.5)
+        (xy 110.952479 84.488321)
+        (xy 110.95 84.466304)
+        (xy 110.95 83.743823)
+        (xy 126.494391 83.743823)
+        (xy 126.495306 83.75082)
+        (xy 126.495306 83.750821)
+        (xy 126.496814 83.762354)
+        (xy 126.51298 83.885979)
+        (xy 126.515821 83.892435)
+        (xy 126.515821 83.892436)
+        (xy 126.523586 83.910082)
+        (xy 126.57072 84.017203)
+        (xy 126.583792 84.032754)
+        (xy 126.658431 84.121549)
+        (xy 126.658434 84.121551)
+        (xy 126.66297 84.126948)
+        (xy 126.668841 84.130856)
+        (xy 126.668842 84.130857)
+        (xy 126.681143 84.139045)
+        (xy 126.782313 84.20639)
+        (xy 126.88292 84.237821)
+        (xy 126.912425 84.247039)
+        (xy 126.912426 84.247039)
+        (xy 126.919157 84.249142)
+        (xy 126.990828 84.250456)
+        (xy 127.055445 84.251641)
+        (xy 127.055447 84.251641)
+        (xy 127.062499 84.25177)
+        (xy 127.069302 84.249915)
+        (xy 127.069304 84.249915)
+        (xy 127.144503 84.229413)
+        (xy 127.200817 84.21406)
+        (xy 127.322991 84.139045)
+        (xy 127.330403 84.130857)
+        (xy 127.414468 84.037982)
+        (xy 127.4192 84.032754)
+        (xy 127.48171 83.903733)
+        (xy 127.485875 83.878982)
+        (xy 127.504862 83.766124)
+        (xy 127.504862 83.76612)
+        (xy 127.505496 83.762354)
+        (xy 127.505647 83.75)
+        (xy 127.485323 83.608082)
+        (xy 127.425984 83.477572)
+        (xy 127.3324 83.368963)
+        (xy 127.212095 83.290985)
+        (xy 127.074739 83.249907)
+        (xy 126.991497 83.249398)
+        (xy 126.938427 83.249074)
+        (xy 126.938426 83.249074)
+        (xy 126.931376 83.249031)
+        (xy 126.924599 83.250968)
+        (xy 126.924598 83.250968)
+        (xy 126.800309 83.28649)
+        (xy 126.800307 83.286491)
+        (xy 126.793529 83.288428)
+        (xy 126.67228 83.36493)
+        (xy 126.667613 83.370214)
+        (xy 126.667611 83.370216)
+        (xy 126.582044 83.467103)
+        (xy 126.582042 83.467105)
+        (xy 126.577377 83.472388)
+        (xy 126.516447 83.602163)
+        (xy 126.494391 83.743823)
+        (xy 110.95 83.743823)
+        (xy 110.95 81.493823)
+        (xy 115.244391 81.493823)
+        (xy 115.245306 81.50082)
+        (xy 115.245306 81.500821)
+        (xy 115.246814 81.512354)
+        (xy 115.26298 81.635979)
+        (xy 115.265821 81.642435)
+        (xy 115.265821 81.642436)
+        (xy 115.273586 81.660082)
+        (xy 115.32072 81.767203)
+        (xy 115.333792 81.782754)
+        (xy 115.408431 81.871549)
+        (xy 115.408434 81.871551)
+        (xy 115.41297 81.876948)
+        (xy 115.418841 81.880856)
+        (xy 115.418842 81.880857)
+        (xy 115.431143 81.889045)
+        (xy 115.532313 81.95639)
+        (xy 115.627248 81.986049)
+        (xy 115.662425 81.997039)
+        (xy 115.662426 81.997039)
+        (xy 115.669157 81.999142)
+        (xy 115.740828 82.000456)
+        (xy 115.805445 82.001641)
+        (xy 115.805447 82.001641)
+        (xy 115.812499 82.00177)
+        (xy 115.819302 81.999915)
+        (xy 115.819304 81.999915)
+        (xy 115.894554 81.979399)
+        (xy 115.950817 81.96406)
+        (xy 116.072991 81.889045)
+        (xy 116.080403 81.880857)
+        (xy 116.164468 81.787982)
+        (xy 116.1692 81.782754)
+        (xy 116.23171 81.653733)
+        (xy 116.235875 81.628982)
+        (xy 116.254862 81.516124)
+        (xy 116.254862 81.51612)
+        (xy 116.255496 81.512354)
+        (xy 116.255647 81.5)
+        (xy 116.235323 81.358082)
+        (xy 116.186986 81.25177)
+        (xy 116.178905 81.233996)
+        (xy 116.178904 81.233995)
+        (xy 116.175984 81.227572)
+        (xy 116.11236 81.153733)
+        (xy 116.087005 81.124307)
+        (xy 116.087004 81.124306)
+        (xy 116.0824 81.118963)
+        (xy 115.962095 81.040985)
+        (xy 115.824739 80.999907)
+        (xy 115.741497 80.999398)
+        (xy 115.688427 80.999074)
+        (xy 115.688426 80.999074)
+        (xy 115.681376 80.999031)
+        (xy 115.674599 81.000968)
+        (xy 115.674598 81.000968)
+        (xy 115.550309 81.03649)
+        (xy 115.550307 81.036491)
+        (xy 115.543529 81.038428)
+        (xy 115.42228 81.11493)
+        (xy 115.417613 81.120214)
+        (xy 115.417611 81.120216)
+        (xy 115.332044 81.217103)
+        (xy 115.332042 81.217105)
+        (xy 115.327377 81.222388)
+        (xy 115.266447 81.352163)
+        (xy 115.265362 81.359132)
+        (xy 115.265361 81.359135)
+        (xy 115.250828 81.452482)
+        (xy 115.244391 81.493823)
+        (xy 110.95 81.493823)
+        (xy 110.95 80.743823)
+        (xy 111.994391 80.743823)
+        (xy 111.995306 80.75082)
+        (xy 111.995306 80.750821)
+        (xy 111.996604 80.760747)
+        (xy 112.01298 80.885979)
+        (xy 112.015821 80.892435)
+        (xy 112.015821 80.892436)
+        (xy 112.063873 81.001641)
+        (xy 112.07072 81.017203)
+        (xy 112.089012 81.038964)
+        (xy 112.158431 81.121549)
+        (xy 112.158434 81.121551)
+        (xy 112.16297 81.126948)
+        (xy 112.168841 81.130856)
+        (xy 112.168842 81.130857)
+        (xy 112.176537 81.135979)
+        (xy 112.282313 81.20639)
+        (xy 112.38292 81.237821)
+        (xy 112.412425 81.247039)
+        (xy 112.412426 81.247039)
+        (xy 112.419157 81.249142)
+        (xy 112.490828 81.250456)
+        (xy 112.555445 81.251641)
+        (xy 112.555447 81.251641)
+        (xy 112.562499 81.25177)
+        (xy 112.569302 81.249915)
+        (xy 112.569304 81.249915)
+        (xy 112.67027 81.222388)
+        (xy 112.700817 81.21406)
+        (xy 112.822991 81.139045)
+        (xy 112.830403 81.130857)
+        (xy 112.914468 81.037982)
+        (xy 112.9192 81.032754)
+        (xy 112.98171 80.903733)
+        (xy 112.985875 80.878982)
+        (xy 113.004862 80.766124)
+        (xy 113.004862 80.76612)
+        (xy 113.005496 80.762354)
+        (xy 113.005647 80.75)
+        (xy 112.986148 80.613843)
+        (xy 112.996615 80.553559)
+        (xy 113.040517 80.510941)
+        (xy 113.085962 80.500825)
+        (xy 113.100565 80.501093)
+        (xy 113.130446 80.501641)
+        (xy 113.130448 80.501641)
+        (xy 113.137499 80.50177)
+        (xy 113.144302 80.499915)
+        (xy 113.144304 80.499915)
+        (xy 113.166649 80.493823)
+        (xy 118.744391 80.493823)
+        (xy 118.745306 80.50082)
+        (xy 118.745306 80.500821)
+        (xy 118.760253 80.615126)
+        (xy 118.76298 80.635979)
+        (xy 118.765821 80.642435)
+        (xy 118.765821 80.642436)
+        (xy 118.813512 80.750821)
+        (xy 118.82072 80.767203)
+        (xy 118.866845 80.822075)
+        (xy 118.908431 80.871549)
+        (xy 118.908434 80.871551)
+        (xy 118.91297 80.876948)
+        (xy 119.032313 80.95639)
+        (xy 119.118974 80.983464)
+        (xy 119.162425 80.997039)
+        (xy 119.162426 80.997039)
+        (xy 119.169157 80.999142)
+        (xy 119.240828 81.000456)
+        (xy 119.305445 81.001641)
+        (xy 119.305447 81.001641)
+        (xy 119.312499 81.00177)
+        (xy 119.319302 80.999915)
+        (xy 119.319304 80.999915)
+        (xy 119.379644 80.983464)
+        (xy 119.440759 80.9864)
+        (xy 119.488476 81.024697)
+        (xy 119.503848 81.066141)
+        (xy 119.51298 81.135979)
+        (xy 119.515821 81.142435)
+        (xy 119.515821 81.142436)
+        (xy 119.563873 81.251641)
+        (xy 119.57072 81.267203)
+        (xy 119.583792 81.282754)
+        (xy 119.658431 81.371549)
+        (xy 119.658434 81.371551)
+        (xy 119.66297 81.376948)
+        (xy 119.668841 81.380856)
+        (xy 119.668842 81.380857)
+        (xy 119.681143 81.389045)
+        (xy 119.782313 81.45639)
+        (xy 119.879809 81.486849)
+        (xy 119.912425 81.497039)
+        (xy 119.912426 81.497039)
+        (xy 119.919157 81.499142)
+        (xy 119.990828 81.500456)
+        (xy 120.055445 81.501641)
+        (xy 120.055447 81.501641)
+        (xy 120.062499 81.50177)
+        (xy 120.069302 81.499915)
+        (xy 120.069304 81.499915)
+        (xy 120.091649 81.493823)
+        (xy 122.244391 81.493823)
+        (xy 122.245306 81.50082)
+        (xy 122.245306 81.500821)
+        (xy 122.246814 81.512354)
+        (xy 122.26298 81.635979)
+        (xy 122.265821 81.642435)
+        (xy 122.265821 81.642436)
+        (xy 122.273586 81.660082)
+        (xy 122.32072 81.767203)
+        (xy 122.333792 81.782754)
+        (xy 122.408431 81.871549)
+        (xy 122.408434 81.871551)
+        (xy 122.41297 81.876948)
+        (xy 122.418841 81.880856)
+        (xy 122.418842 81.880857)
+        (xy 122.431143 81.889045)
+        (xy 122.532313 81.95639)
+        (xy 122.604606 81.978975)
+        (xy 122.605962 81.979399)
+        (xy 122.655867 82.014799)
+        (xy 122.675434 82.072771)
+        (xy 122.657188 82.131173)
+        (xy 122.650657 82.139413)
+        (xy 122.577377 82.222388)
+        (xy 122.516447 82.352163)
+        (xy 122.494391 82.493823)
+        (xy 122.495306 82.50082)
+        (xy 122.495306 82.500821)
+        (xy 122.496814 82.512354)
+        (xy 122.51298 82.635979)
+        (xy 122.515821 82.642435)
+        (xy 122.515821 82.642436)
+        (xy 122.523586 82.660082)
+        (xy 122.57072 82.767203)
+        (xy 122.583792 82.782754)
+        (xy 122.658431 82.871549)
+        (xy 122.658434 82.871551)
+        (xy 122.66297 82.876948)
+        (xy 122.668841 82.880856)
+        (xy 122.668842 82.880857)
+        (xy 122.681143 82.889045)
+        (xy 122.782313 82.95639)
+        (xy 122.88292 82.987821)
+        (xy 122.912425 82.997039)
+        (xy 122.912426 82.997039)
+        (xy 122.919157 82.999142)
+        (xy 122.990828 83.000456)
+        (xy 123.055445 83.001641)
+        (xy 123.055447 83.001641)
+        (xy 123.062499 83.00177)
+        (xy 123.069302 82.999915)
+        (xy 123.069304 82.999915)
+        (xy 123.144503 82.979413)
+        (xy 123.200817 82.96406)
+        (xy 123.322991 82.889045)
+        (xy 123.330403 82.880857)
+        (xy 123.414468 82.787982)
+        (xy 123.4192 82.782754)
+        (xy 123.48171 82.653733)
+        (xy 123.485875 82.628982)
+        (xy 123.504862 82.516124)
+        (xy 123.504862 82.51612)
+        (xy 123.505496 82.512354)
+        (xy 123.505647 82.5)
+        (xy 123.485323 82.358082)
+        (xy 123.425984 82.227572)
+        (xy 123.3324 82.118963)
+        (xy 123.212095 82.040985)
+        (xy 123.144721 82.020836)
+        (xy 123.094387 81.986049)
+        (xy 123.074113 81.92832)
+        (xy 123.091644 81.8697)
+        (xy 123.099688 81.859551)
+        (xy 123.164468 81.787982)
+        (xy 123.1692 81.782754)
+        (xy 123.23171 81.653733)
+        (xy 123.235875 81.628982)
+        (xy 123.254862 81.516124)
+        (xy 123.254862 81.51612)
+        (xy 123.255496 81.512354)
+        (xy 123.255647 81.5)
+        (xy 123.235323 81.358082)
+        (xy 123.186986 81.25177)
+        (xy 123.178905 81.233996)
+        (xy 123.178904 81.233995)
+        (xy 123.175984 81.227572)
+        (xy 123.11236 81.153733)
+        (xy 123.087005 81.124307)
+        (xy 123.087004 81.124306)
+        (xy 123.0824 81.118963)
+        (xy 122.962095 81.040985)
+        (xy 122.824739 80.999907)
+        (xy 122.741497 80.999398)
+        (xy 122.688427 80.999074)
+        (xy 122.688426 80.999074)
+        (xy 122.681376 80.999031)
+        (xy 122.674599 81.000968)
+        (xy 122.674598 81.000968)
+        (xy 122.550309 81.03649)
+        (xy 122.550307 81.036491)
+        (xy 122.543529 81.038428)
+        (xy 122.42228 81.11493)
+        (xy 122.417613 81.120214)
+        (xy 122.417611 81.120216)
+        (xy 122.332044 81.217103)
+        (xy 122.332042 81.217105)
+        (xy 122.327377 81.222388)
+        (xy 122.266447 81.352163)
+        (xy 122.265362 81.359132)
+        (xy 122.265361 81.359135)
+        (xy 122.250828 81.452482)
+        (xy 122.244391 81.493823)
+        (xy 120.091649 81.493823)
+        (xy 120.144503 81.479413)
+        (xy 120.200817 81.46406)
+        (xy 120.322991 81.389045)
+        (xy 120.330403 81.380857)
+        (xy 120.414468 81.287982)
+        (xy 120.4192 81.282754)
+        (xy 120.48171 81.153733)
+        (xy 120.484182 81.139045)
+        (xy 120.504862 81.016124)
+        (xy 120.504862 81.01612)
+        (xy 120.505496 81.012354)
+        (xy 120.505647 81)
+        (xy 120.504762 80.993823)
+        (xy 126.494391 80.993823)
+        (xy 126.495306 81.00082)
+        (xy 126.495306 81.000821)
+        (xy 126.510253 81.115126)
+        (xy 126.51298 81.135979)
+        (xy 126.515821 81.142435)
+        (xy 126.515821 81.142436)
+        (xy 126.563873 81.251641)
+        (xy 126.57072 81.267203)
+        (xy 126.583792 81.282754)
+        (xy 126.658431 81.371549)
+        (xy 126.658434 81.371551)
+        (xy 126.66297 81.376948)
+        (xy 126.668841 81.380856)
+        (xy 126.668842 81.380857)
+        (xy 126.681143 81.389045)
+        (xy 126.782313 81.45639)
+        (xy 126.879809 81.486849)
+        (xy 126.912425 81.497039)
+        (xy 126.912426 81.497039)
+        (xy 126.919157 81.499142)
+        (xy 126.990828 81.500456)
+        (xy 127.055445 81.501641)
+        (xy 127.055447 81.501641)
+        (xy 127.062499 81.50177)
+        (xy 127.069302 81.499915)
+        (xy 127.069304 81.499915)
+        (xy 127.091649 81.493823)
+        (xy 129.244391 81.493823)
+        (xy 129.245306 81.50082)
+        (xy 129.245306 81.500821)
+        (xy 129.246814 81.512354)
+        (xy 129.26298 81.635979)
+        (xy 129.265821 81.642435)
+        (xy 129.265821 81.642436)
+        (xy 129.273586 81.660082)
+        (xy 129.32072 81.767203)
+        (xy 129.333792 81.782754)
+        (xy 129.408431 81.871549)
+        (xy 129.408434 81.871551)
+        (xy 129.41297 81.876948)
+        (xy 129.418841 81.880856)
+        (xy 129.418842 81.880857)
+        (xy 129.431143 81.889045)
+        (xy 129.532313 81.95639)
+        (xy 129.627248 81.986049)
+        (xy 129.662425 81.997039)
+        (xy 129.662426 81.997039)
+        (xy 129.669157 81.999142)
+        (xy 129.740828 82.000456)
+        (xy 129.805445 82.001641)
+        (xy 129.805447 82.001641)
+        (xy 129.812499 82.00177)
+        (xy 129.819302 81.999915)
+        (xy 129.819304 81.999915)
+        (xy 129.894554 81.979399)
+        (xy 129.950817 81.96406)
+        (xy 130.072991 81.889045)
+        (xy 130.080403 81.880857)
+        (xy 130.164468 81.787982)
+        (xy 130.1692 81.782754)
+        (xy 130.23171 81.653733)
+        (xy 130.235875 81.628982)
+        (xy 130.254862 81.516124)
+        (xy 130.254862 81.51612)
+        (xy 130.255496 81.512354)
+        (xy 130.255647 81.5)
+        (xy 130.235323 81.358082)
+        (xy 130.186986 81.25177)
+        (xy 130.178905 81.233996)
+        (xy 130.178904 81.233995)
+        (xy 130.175984 81.227572)
+        (xy 130.11236 81.153733)
+        (xy 130.087005 81.124307)
+        (xy 130.087004 81.124306)
+        (xy 130.0824 81.118963)
+        (xy 129.962095 81.040985)
+        (xy 129.824739 80.999907)
+        (xy 129.741497 80.999398)
+        (xy 129.688427 80.999074)
+        (xy 129.688426 80.999074)
+        (xy 129.681376 80.999031)
+        (xy 129.674599 81.000968)
+        (xy 129.674598 81.000968)
+        (xy 129.550309 81.03649)
+        (xy 129.550307 81.036491)
+        (xy 129.543529 81.038428)
+        (xy 129.42228 81.11493)
+        (xy 129.417613 81.120214)
+        (xy 129.417611 81.120216)
+        (xy 129.332044 81.217103)
+        (xy 129.332042 81.217105)
+        (xy 129.327377 81.222388)
+        (xy 129.266447 81.352163)
+        (xy 129.265362 81.359132)
+        (xy 129.265361 81.359135)
+        (xy 129.250828 81.452482)
+        (xy 129.244391 81.493823)
+        (xy 127.091649 81.493823)
+        (xy 127.144503 81.479413)
+        (xy 127.200817 81.46406)
+        (xy 127.322991 81.389045)
+        (xy 127.330403 81.380857)
+        (xy 127.414468 81.287982)
+        (xy 127.4192 81.282754)
+        (xy 127.48171 81.153733)
+        (xy 127.484182 81.139045)
+        (xy 127.504862 81.016124)
+        (xy 127.504862 81.01612)
+        (xy 127.505496 81.012354)
+        (xy 127.505647 81)
+        (xy 127.485323 80.858082)
+        (xy 127.444003 80.767203)
+        (xy 127.428905 80.733996)
+        (xy 127.428904 80.733995)
+        (xy 127.425984 80.727572)
+        (xy 127.3324 80.618963)
+        (xy 127.212095 80.540985)
+        (xy 127.074739 80.499907)
+        (xy 126.991497 80.499398)
+        (xy 126.938427 80.499074)
+        (xy 126.938426 80.499074)
+        (xy 126.931376 80.499031)
+        (xy 126.924599 80.500968)
+        (xy 126.924598 80.500968)
+        (xy 126.800309 80.53649)
+        (xy 126.800307 80.536491)
+        (xy 126.793529 80.538428)
+        (xy 126.67228 80.61493)
+        (xy 126.667613 80.620214)
+        (xy 126.667611 80.620216)
+        (xy 126.582044 80.717103)
+        (xy 126.582042 80.717105)
+        (xy 126.577377 80.722388)
+        (xy 126.574381 80.72877)
+        (xy 126.57438 80.728771)
+        (xy 126.562617 80.753826)
+        (xy 126.516447 80.852163)
+        (xy 126.515362 80.859132)
+        (xy 126.515361 80.859135)
+        (xy 126.507429 80.910082)
+        (xy 126.494391 80.993823)
+        (xy 120.504762 80.993823)
+        (xy 120.485323 80.858082)
+        (xy 120.444003 80.767203)
+        (xy 120.428905 80.733996)
+        (xy 120.428904 80.733995)
+        (xy 120.425984 80.727572)
+        (xy 120.3324 80.618963)
+        (xy 120.212095 80.540985)
+        (xy 120.074739 80.499907)
+        (xy 119.991497 80.499398)
+        (xy 119.938427 80.499074)
+        (xy 119.938426 80.499074)
+        (xy 119.931376 80.499031)
+        (xy 119.924599 80.500968)
+        (xy 119.924598 80.500968)
+        (xy 119.87154 80.516132)
+        (xy 119.810394 80.513943)
+        (xy 119.762212 80.476231)
+        (xy 119.746335 80.434977)
+        (xy 119.739008 80.383814)
+        (xy 119.735323 80.358082)
+        (xy 119.686986 80.25177)
+        (xy 119.678905 80.233996)
+        (xy 119.678904 80.233995)
+        (xy 119.675984 80.227572)
+        (xy 119.61236 80.153733)
+        (xy 119.587005 80.124307)
+        (xy 119.587004 80.124306)
+        (xy 119.5824 80.118963)
+        (xy 119.462095 80.040985)
+        (xy 119.324739 79.999907)
+        (xy 119.241497 79.999398)
+        (xy 119.188427 79.999074)
+        (xy 119.188426 79.999074)
+        (xy 119.181376 79.999031)
+        (xy 119.174599 80.000968)
+        (xy 119.174598 80.000968)
+        (xy 119.050309 80.03649)
+        (xy 119.050307 80.036491)
+        (xy 119.043529 80.038428)
+        (xy 118.92228 80.11493)
+        (xy 118.917613 80.120214)
+        (xy 118.917611 80.120216)
+        (xy 118.832044 80.217103)
+        (xy 118.832042 80.217105)
+        (xy 118.827377 80.222388)
+        (xy 118.824381 80.22877)
+        (xy 118.82438 80.228771)
+        (xy 118.813643 80.251641)
+        (xy 118.766447 80.352163)
+        (xy 118.765362 80.359132)
+        (xy 118.765361 80.359135)
+        (xy 118.753553 80.434977)
+        (xy 118.744391 80.493823)
+        (xy 113.166649 80.493823)
+        (xy 113.225093 80.477889)
+        (xy 113.275817 80.46406)
+        (xy 113.397991 80.389045)
+        (xy 113.4942 80.282754)
+        (xy 113.55671 80.153733)
+        (xy 113.559182 80.139045)
+        (xy 113.579862 80.016124)
+        (xy 113.579862 80.01612)
+        (xy 113.580496 80.012354)
+        (xy 113.580647 80)
+        (xy 113.560323 79.858082)
+        (xy 113.538543 79.81018)
+        (xy 113.508373 79.743823)
+        (xy 120.189391 79.743823)
+        (xy 120.190306 79.75082)
+        (xy 120.190306 79.750821)
+        (xy 120.191814 79.762354)
+        (xy 120.20798 79.885979)
+        (xy 120.210821 79.892435)
+        (xy 120.210821 79.892436)
+        (xy 120.258512 80.000821)
+        (xy 120.26572 80.017203)
+        (xy 120.284012 80.038964)
+        (xy 120.353431 80.121549)
+        (xy 120.353434 80.121551)
+        (xy 120.35797 80.126948)
+        (xy 120.363841 80.130856)
+        (xy 120.363842 80.130857)
+        (xy 120.371537 80.135979)
+        (xy 120.477313 80.20639)
+        (xy 120.57792 80.237821)
+        (xy 120.607425 80.247039)
+        (xy 120.607426 80.247039)
+        (xy 120.614157 80.249142)
+        (xy 120.685828 80.250456)
+        (xy 120.750445 80.251641)
+        (xy 120.750447 80.251641)
+        (xy 120.757499 80.25177)
+        (xy 120.764302 80.249915)
+        (xy 120.764304 80.249915)
+        (xy 120.86527 80.222388)
+        (xy 120.895817 80.21406)
+        (xy 121.017991 80.139045)
+        (xy 121.025403 80.130857)
+        (xy 121.109468 80.037982)
+        (xy 121.1142 80.032754)
+        (xy 121.17671 79.903733)
+        (xy 121.180875 79.878982)
+        (xy 121.199862 79.766124)
+        (xy 121.199862 79.76612)
+        (xy 121.200496 79.762354)
+        (xy 121.200647 79.75)
+        (xy 121.180323 79.608082)
+        (xy 121.147772 79.53649)
+        (xy 121.123905 79.483996)
+        (xy 121.123904 79.483995)
+        (xy 121.120984 79.477572)
+        (xy 121.0274 79.368963)
+        (xy 120.907095 79.290985)
+        (xy 120.769739 79.249907)
+        (xy 120.686497 79.249398)
+        (xy 120.633427 79.249074)
+        (xy 120.633426 79.249074)
+        (xy 120.626376 79.249031)
+        (xy 120.619599 79.250968)
+        (xy 120.619598 79.250968)
+        (xy 120.495309 79.28649)
+        (xy 120.495307 79.286491)
+        (xy 120.488529 79.288428)
+        (xy 120.36728 79.36493)
+        (xy 120.362613 79.370214)
+        (xy 120.362611 79.370216)
+        (xy 120.277044 79.467103)
+        (xy 120.277042 79.467105)
+        (xy 120.272377 79.472388)
+        (xy 120.269381 79.47877)
+        (xy 120.26938 79.478771)
+        (xy 120.258507 79.501929)
+        (xy 120.211447 79.602163)
+        (xy 120.210362 79.609132)
+        (xy 120.210361 79.609135)
+        (xy 120.208831 79.618963)
+        (xy 120.189391 79.743823)
+        (xy 113.508373 79.743823)
+        (xy 113.503905 79.733996)
+        (xy 113.503904 79.733995)
+        (xy 113.500984 79.727572)
+        (xy 113.4074 79.618963)
+        (xy 113.287095 79.540985)
+        (xy 113.149739 79.499907)
+        (xy 113.066497 79.499398)
+        (xy 113.013427 79.499074)
+        (xy 113.013426 79.499074)
+        (xy 113.006376 79.499031)
+        (xy 112.999599 79.500968)
+        (xy 112.999598 79.500968)
+        (xy 112.875309 79.53649)
+        (xy 112.875307 79.536491)
+        (xy 112.868529 79.538428)
+        (xy 112.74728 79.61493)
+        (xy 112.742613 79.620214)
+        (xy 112.742611 79.620216)
+        (xy 112.657044 79.717103)
+        (xy 112.657042 79.717105)
+        (xy 112.652377 79.722388)
+        (xy 112.649381 79.72877)
+        (xy 112.64938 79.728771)
+        (xy 112.637617 79.753826)
+        (xy 112.591447 79.852163)
+        (xy 112.590362 79.859132)
+        (xy 112.590361 79.859135)
+        (xy 112.582429 79.910082)
+        (xy 112.569391 79.993823)
+        (xy 112.570306 80.00082)
+        (xy 112.570306 80.000821)
+        (xy 112.58798 80.135979)
+        (xy 112.585085 80.136358)
+        (xy 112.583036 80.184923)
+        (xy 112.545018 80.232863)
+        (xy 112.48965 80.249387)
+        (xy 112.438427 80.249074)
+        (xy 112.438426 80.249074)
+        (xy 112.431376 80.249031)
+        (xy 112.424599 80.250968)
+        (xy 112.424598 80.250968)
+        (xy 112.300309 80.28649)
+        (xy 112.300307 80.286491)
+        (xy 112.293529 80.288428)
+        (xy 112.17228 80.36493)
+        (xy 112.167613 80.370214)
+        (xy 112.167611 80.370216)
+        (xy 112.082044 80.467103)
+        (xy 112.082042 80.467105)
+        (xy 112.077377 80.472388)
+        (xy 112.074381 80.47877)
+        (xy 112.07438 80.478771)
+        (xy 112.063643 80.501641)
+        (xy 112.016447 80.602163)
+        (xy 112.015362 80.609132)
+        (xy 112.015361 80.609135)
+        (xy 112.00068 80.70343)
+        (xy 111.994391 80.743823)
+        (xy 110.95 80.743823)
+        (xy 110.95 77.243823)
+        (xy 130.244391 77.243823)
+        (xy 130.245306 77.25082)
+        (xy 130.245306 77.250821)
+        (xy 130.246814 77.262354)
+        (xy 130.26298 77.385979)
+        (xy 130.265821 77.392435)
+        (xy 130.265821 77.392436)
+        (xy 130.273586 77.410082)
+        (xy 130.32072 77.517203)
+        (xy 130.333792 77.532754)
+        (xy 130.408431 77.621549)
+        (xy 130.408434 77.621551)
+        (xy 130.41297 77.626948)
+        (xy 130.418841 77.630856)
+        (xy 130.418842 77.630857)
+        (xy 130.431143 77.639045)
+        (xy 130.532313 77.70639)
+        (xy 130.63292 77.737821)
+        (xy 130.662425 77.747039)
+        (xy 130.662426 77.747039)
+        (xy 130.669157 77.749142)
+        (xy 130.740828 77.750456)
+        (xy 130.805445 77.751641)
+        (xy 130.805447 77.751641)
+        (xy 130.812499 77.75177)
+        (xy 130.819302 77.749915)
+        (xy 130.819304 77.749915)
+        (xy 130.950817 77.71406)
+        (xy 130.951381 77.716128)
+        (xy 131.002237 77.711321)
+        (xy 131.054902 77.742468)
+        (xy 131.064687 77.75562)
+        (xy 131.067881 77.760751)
+        (xy 131.07072 77.767203)
+        (xy 131.075257 77.7726)
+        (xy 131.158431 77.871549)
+        (xy 131.158434 77.871551)
+        (xy 131.16297 77.876948)
+        (xy 131.168841 77.880856)
+        (xy 131.168842 77.880857)
+        (xy 131.181143 77.889045)
+        (xy 131.282313 77.95639)
+        (xy 131.38292 77.987821)
+        (xy 131.412425 77.997039)
+        (xy 131.412426 77.997039)
+        (xy 131.419157 77.999142)
+        (xy 131.490828 78.000456)
+        (xy 131.555445 78.001641)
+        (xy 131.555447 78.001641)
+        (xy 131.562499 78.00177)
+        (xy 131.569302 77.999915)
+        (xy 131.569304 77.999915)
+        (xy 131.644503 77.979413)
+        (xy 131.700817 77.96406)
+        (xy 131.822991 77.889045)
+        (xy 131.830403 77.880857)
+        (xy 131.914468 77.787982)
+        (xy 131.9192 77.782754)
+        (xy 131.926735 77.767203)
+        (xy 131.929011 77.762505)
+        (xy 131.971398 77.71838)
+        (xy 132.031626 77.707598)
+        (xy 132.047624 77.711173)
+        (xy 132.070692 77.71838)
+        (xy 132.162425 77.747039)
+        (xy 132.162426 77.747039)
+        (xy 132.169157 77.749142)
+        (xy 132.240828 77.750456)
+        (xy 132.305445 77.751641)
+        (xy 132.305447 77.751641)
+        (xy 132.312499 77.75177)
+        (xy 132.319302 77.749915)
+        (xy 132.319304 77.749915)
+        (xy 132.394503 77.729413)
+        (xy 132.450817 77.71406)
+        (xy 132.572991 77.639045)
+        (xy 132.580403 77.630857)
+        (xy 132.664468 77.537982)
+        (xy 132.6692 77.532754)
+        (xy 132.73171 77.403733)
+        (xy 132.735875 77.378982)
+        (xy 132.754862 77.266124)
+        (xy 132.754862 77.26612)
+        (xy 132.755496 77.262354)
+        (xy 132.755647 77.25)
+        (xy 132.735323 77.108082)
+        (xy 132.69991 77.030194)
+        (xy 132.678905 76.983996)
+        (xy 132.678904 76.983995)
+        (xy 132.675984 76.977572)
+        (xy 132.5824 76.868963)
+        (xy 132.462095 76.790985)
+        (xy 132.324739 76.749907)
+        (xy 132.241497 76.749398)
+        (xy 132.188427 76.749074)
+        (xy 132.188426 76.749074)
+        (xy 132.181376 76.749031)
+        (xy 132.174599 76.750968)
+        (xy 132.174598 76.750968)
+        (xy 132.050309 76.78649)
+        (xy 132.050307 76.786491)
+        (xy 132.043529 76.788428)
+        (xy 131.92228 76.86493)
+        (xy 131.917613 76.870214)
+        (xy 131.917611 76.870216)
+        (xy 131.832047 76.9671)
+        (xy 131.827377 76.972388)
+        (xy 131.824378 76.978775)
+        (xy 131.824377 76.978777)
+        (xy 131.821193 76.985557)
+        (xy 131.779346 77.030194)
+        (xy 131.719254 77.04171)
+        (xy 131.703214 77.038329)
+        (xy 131.5815 77.001929)
+        (xy 131.574739 76.999907)
+        (xy 131.491497 76.999398)
+        (xy 131.438427 76.999074)
+        (xy 131.438426 76.999074)
+        (xy 131.431376 76.999031)
+        (xy 131.424599 77.000968)
+        (xy 131.424598 77.000968)
+        (xy 131.296009 77.037719)
+        (xy 131.234863 77.03553)
+        (xy 131.186681 76.997818)
+        (xy 131.17931 76.984629)
+        (xy 131.178904 76.983994)
+        (xy 131.175984 76.977572)
+        (xy 131.0824 76.868963)
+        (xy 130.962095 76.790985)
+        (xy 130.824739 76.749907)
+        (xy 130.741497 76.749398)
+        (xy 130.688427 76.749074)
+        (xy 130.688426 76.749074)
+        (xy 130.681376 76.749031)
+        (xy 130.674599 76.750968)
+        (xy 130.674598 76.750968)
+        (xy 130.550309 76.78649)
+        (xy 130.550307 76.786491)
+        (xy 130.543529 76.788428)
+        (xy 130.42228 76.86493)
+        (xy 130.417613 76.870214)
+        (xy 130.417611 76.870216)
+        (xy 130.332044 76.967103)
+        (xy 130.332042 76.967105)
+        (xy 130.327377 76.972388)
+        (xy 130.324381 76.97877)
+        (xy 130.32438 76.978771)
+        (xy 130.313507 77.001929)
+        (xy 130.266447 77.102163)
+        (xy 130.244391 77.243823)
+        (xy 110.95 77.243823)
+        (xy 110.95 76.53396)
+        (xy 110.952518 76.511773)
+        (xy 110.952562 76.511583)
+        (xy 110.955143 76.500358)
+        (xy 110.952682 76.489484)
+        (xy 110.952702 76.478335)
+        (xy 110.953716 76.478337)
+        (xy 110.953105 76.468476)
+        (xy 110.964416 76.353632)
+        (xy 110.968202 76.334598)
+        (xy 111.008066 76.203183)
+        (xy 111.015493 76.185252)
+        (xy 111.046078 76.128033)
+        (xy 111.080228 76.064143)
+        (xy 111.091008 76.048009)
+        (xy 111.168546 75.953527)
+        (xy 111.178131 75.941848)
+        (xy 111.191848 75.928131)
+        (xy 111.298009 75.841008)
+        (xy 111.314144 75.830227)
+        (xy 111.384771 75.792476)
+        (xy 111.435255 75.765492)
+        (xy 111.453183 75.758066)
+        (xy 111.518891 75.738134)
+        (xy 111.5846 75.718202)
+        (xy 111.60363 75.714416)
+        (xy 111.718499 75.703103)
+        (xy 111.728371 75.702626)
+        (xy 111.738775 75.702644)
+        (xy 111.749642 75.705143)
+        (xy 111.761583 75.702441)
+        (xy 111.783432 75.7)
+        (xy 132.96604 75.7)
+      )
+    )
+  )
+  (zone (net 5) (net_name "+5VA") (layer "In2.Cu") (tstamp 1d6d413e-3f60-4215-89c3-71b4a8929f1c) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 110.25 91.25)
+        (xy 124.25 91.25)
+        (xy 124.25 95.75)
+        (xy 125 96.5)
+        (xy 125 108)
+        (xy 96.5 108)
+        (xy 96.5 87.5)
+        (xy 103.5 87.5)
+        (xy 105.25 85.75)
+        (xy 110.25 85.75)
+      )
+    )
+    (filled_polygon
+      (layer "In2.Cu")
+      (pts
+        (xy 109.254843 85.750477)
+        (xy 109.435388 85.768259)
+        (xy 109.454418 85.772045)
+        (xy 109.527017 85.794067)
+        (xy 109.623353 85.82329)
+        (xy 109.641283 85.830717)
+        (xy 109.796969 85.913933)
+        (xy 109.813106 85.924715)
+        (xy 109.949573 86.03671)
+        (xy 109.96329 86.050427)
+        (xy 110.016387 86.115126)
+        (xy 110.075285 86.186894)
+        (xy 110.086067 86.203031)
+        (xy 110.169283 86.358717)
+        (xy 110.17671 86.376647)
+        (xy 110.212979 86.496209)
+        (xy 110.227955 86.54558)
+        (xy 110.231741 86.564614)
+        (xy 110.249523 86.745157)
+        (xy 110.25 86.754861)
+        (xy 110.25 91.25)
+        (xy 123.245139 91.25)
+        (xy 123.254843 91.250477)
+        (xy 123.435388 91.268259)
+        (xy 123.454418 91.272045)
+        (xy 123.506964 91.287984)
+        (xy 123.623353 91.32329)
+        (xy 123.641283 91.330717)
+        (xy 123.796969 91.413933)
+        (xy 123.813106 91.424715)
+        (xy 123.949573 91.53671)
+        (xy 123.96329 91.550427)
+        (xy 123.990241 91.583267)
+        (xy 124.075285 91.686894)
+        (xy 124.086067 91.703031)
+        (xy 124.169283 91.858717)
+        (xy 124.17671 91.876647)
+        (xy 124.22214 92.026409)
+        (xy 124.227955 92.04558)
+        (xy 124.231741 92.064612)
+        (xy 124.24676 92.217103)
+        (xy 124.249523 92.245157)
+        (xy 124.25 92.254861)
+        (xy 124.25 92.919382)
+        (xy 124.231093 92.977573)
+        (xy 124.181593 93.013537)
+        (xy 124.122635 93.014231)
+        (xy 124.074739 92.999907)
+        (xy 123.991497 92.999398)
+        (xy 123.938427 92.999074)
+        (xy 123.938426 92.999074)
+        (xy 123.931376 92.999031)
+        (xy 123.924599 93.000968)
+        (xy 123.924598 93.000968)
+        (xy 123.800309 93.03649)
+        (xy 123.800307 93.036491)
+        (xy 123.793529 93.038428)
+        (xy 123.67228 93.11493)
+        (xy 123.667613 93.120214)
+        (xy 123.667611 93.120216)
+        (xy 123.582044 93.217103)
+        (xy 123.582042 93.217105)
+        (xy 123.577377 93.222388)
+        (xy 123.516447 93.352163)
+        (xy 123.515362 93.359132)
+        (xy 123.515361 93.359135)
+        (xy 123.500828 93.452482)
+        (xy 123.494391 93.493823)
+        (xy 123.495306 93.50082)
+        (xy 123.495306 93.500821)
+        (xy 123.510253 93.615126)
+        (xy 123.51298 93.635979)
+        (xy 123.515821 93.642435)
+        (xy 123.515821 93.642436)
+        (xy 123.556109 93.733996)
+        (xy 123.57072 93.767203)
+        (xy 123.583792 93.782754)
+        (xy 123.658431 93.871549)
+        (xy 123.658434 93.871551)
+        (xy 123.66297 93.876948)
+        (xy 123.782313 93.95639)
+        (xy 123.873061 93.984741)
+        (xy 123.912425 93.997039)
+        (xy 123.912426 93.997039)
+        (xy 123.919157 93.999142)
+        (xy 123.990828 94.000456)
+        (xy 124.055445 94.001641)
+        (xy 124.055447 94.001641)
+        (xy 124.062499 94.00177)
+        (xy 124.069302 93.999915)
+        (xy 124.069304 93.999915)
+        (xy 124.12496 93.984741)
+        (xy 124.186075 93.987677)
+        (xy 124.233792 94.025974)
+        (xy 124.25 94.080255)
+        (xy 124.25 95.75)
+        (xy 124.703672 96.203672)
+        (xy 124.710196 96.210871)
+        (xy 124.825285 96.351107)
+        (xy 124.836067 96.367244)
+        (xy 124.919283 96.52293)
+        (xy 124.92671 96.54086)
+        (xy 124.977955 96.709793)
+        (xy 124.981741 96.728825)
+        (xy 124.993259 96.845776)
+        (xy 124.999523 96.909371)
+        (xy 125 96.919075)
+        (xy 125 106.995139)
+        (xy 124.999523 107.004843)
+        (xy 124.985942 107.142737)
+        (xy 124.981741 107.185386)
+        (xy 124.977955 107.20442)
+        (xy 124.92671 107.373353)
+        (xy 124.919283 107.391283)
+        (xy 124.836067 107.546969)
+        (xy 124.825285 107.563106)
+        (xy 124.71329 107.699573)
+        (xy 124.699573 107.71329)
+        (xy 124.67372 107.734507)
+        (xy 124.621297 107.777529)
+        (xy 124.558493 107.8)
+        (xy 97.53396 107.8)
+        (xy 97.511773 107.797482)
+        (xy 97.500358 107.794857)
+        (xy 97.489484 107.797318)
+        (xy 97.478335 107.797298)
+        (xy 97.478337 107.796284)
+        (xy 97.468476 107.796895)
+        (xy 97.412462 107.791378)
+        (xy 97.35363 107.785584)
+        (xy 97.3346 107.781798)
+        (xy 97.268891 107.761866)
+        (xy 97.203183 107.741934)
+        (xy 97.185255 107.734508)
+        (xy 97.064143 107.669772)
+        (xy 97.048009 107.658992)
+        (xy 97.022947 107.638425)
+        (xy 96.941848 107.571869)
+        (xy 96.928131 107.558152)
+        (xy 96.841008 107.451991)
+        (xy 96.830227 107.435856)
+        (xy 96.806402 107.391283)
+        (xy 96.765492 107.314745)
+        (xy 96.758066 107.296817)
+        (xy 96.731273 107.208492)
+        (xy 96.718202 107.1654)
+        (xy 96.714416 107.146368)
+        (xy 96.703103 107.031501)
+        (xy 96.702626 107.021629)
+        (xy 96.702644 107.011225)
+        (xy 96.705143 107.000358)
+        (xy 96.702441 106.988417)
+        (xy 96.7 106.966568)
+        (xy 96.7 106.743823)
+        (xy 107.994391 106.743823)
+        (xy 107.995306 106.75082)
+        (xy 107.995306 106.750821)
+        (xy 107.996814 106.762354)
+        (xy 108.01298 106.885979)
+        (xy 108.015821 106.892435)
+        (xy 108.015821 106.892436)
+        (xy 108.061012 106.995139)
+        (xy 108.07072 107.017203)
+        (xy 108.082744 107.031507)
+        (xy 108.158431 107.121549)
+        (xy 108.158434 107.121551)
+        (xy 108.16297 107.126948)
+        (xy 108.168841 107.130856)
+        (xy 108.168842 107.130857)
+        (xy 108.181143 107.139045)
+        (xy 108.282313 107.20639)
+        (xy 108.38292 107.237821)
+        (xy 108.412425 107.247039)
+        (xy 108.412426 107.247039)
+        (xy 108.419157 107.249142)
+        (xy 108.490828 107.250456)
+        (xy 108.555445 107.251641)
+        (xy 108.555447 107.251641)
+        (xy 108.562499 107.25177)
+        (xy 108.569302 107.249915)
+        (xy 108.569304 107.249915)
+        (xy 108.644503 107.229413)
+        (xy 108.700817 107.21406)
+        (xy 108.822991 107.139045)
+        (xy 108.830403 107.130857)
+        (xy 108.914468 107.037982)
+        (xy 108.9192 107.032754)
+        (xy 108.98171 106.903733)
+        (xy 108.985875 106.878982)
+        (xy 109.004862 106.766124)
+        (xy 109.004862 106.76612)
+        (xy 109.005496 106.762354)
+        (xy 109.005647 106.75)
+        (xy 109.004762 106.743823)
+        (xy 113.994391 106.743823)
+        (xy 113.995306 106.75082)
+        (xy 113.995306 106.750821)
+        (xy 113.996814 106.762354)
+        (xy 114.01298 106.885979)
+        (xy 114.015821 106.892435)
+        (xy 114.015821 106.892436)
+        (xy 114.061012 106.995139)
+        (xy 114.07072 107.017203)
+        (xy 114.082744 107.031507)
+        (xy 114.158431 107.121549)
+        (xy 114.158434 107.121551)
+        (xy 114.16297 107.126948)
+        (xy 114.168841 107.130856)
+        (xy 114.168842 107.130857)
+        (xy 114.181143 107.139045)
+        (xy 114.282313 107.20639)
+        (xy 114.38292 107.237821)
+        (xy 114.412425 107.247039)
+        (xy 114.412426 107.247039)
+        (xy 114.419157 107.249142)
+        (xy 114.490828 107.250456)
+        (xy 114.555445 107.251641)
+        (xy 114.555447 107.251641)
+        (xy 114.562499 107.25177)
+        (xy 114.569302 107.249915)
+        (xy 114.569304 107.249915)
+        (xy 114.644503 107.229413)
+        (xy 114.700817 107.21406)
+        (xy 114.822991 107.139045)
+        (xy 114.830403 107.130857)
+        (xy 114.914468 107.037982)
+        (xy 114.9192 107.032754)
+        (xy 114.98171 106.903733)
+        (xy 114.985875 106.878982)
+        (xy 115.004862 106.766124)
+        (xy 115.004862 106.76612)
+        (xy 115.005496 106.762354)
+        (xy 115.005647 106.75)
+        (xy 115.004762 106.743823)
+        (xy 119.994391 106.743823)
+        (xy 119.995306 106.75082)
+        (xy 119.995306 106.750821)
+        (xy 119.996814 106.762354)
+        (xy 120.01298 106.885979)
+        (xy 120.015821 106.892435)
+        (xy 120.015821 106.892436)
+        (xy 120.061012 106.995139)
+        (xy 120.07072 107.017203)
+        (xy 120.082744 107.031507)
+        (xy 120.158431 107.121549)
+        (xy 120.158434 107.121551)
+        (xy 120.16297 107.126948)
+        (xy 120.168841 107.130856)
+        (xy 120.168842 107.130857)
+        (xy 120.181143 107.139045)
+        (xy 120.282313 107.20639)
+        (xy 120.38292 107.237821)
+        (xy 120.412425 107.247039)
+        (xy 120.412426 107.247039)
+        (xy 120.419157 107.249142)
+        (xy 120.490828 107.250456)
+        (xy 120.555445 107.251641)
+        (xy 120.555447 107.251641)
+        (xy 120.562499 107.25177)
+        (xy 120.569302 107.249915)
+        (xy 120.569304 107.249915)
+        (xy 120.644503 107.229413)
+        (xy 120.700817 107.21406)
+        (xy 120.822991 107.139045)
+        (xy 120.830403 107.130857)
+        (xy 120.914468 107.037982)
+        (xy 120.9192 107.032754)
+        (xy 120.98171 106.903733)
+        (xy 120.985875 106.878982)
+        (xy 121.004862 106.766124)
+        (xy 121.004862 106.76612)
+        (xy 121.005496 106.762354)
+        (xy 121.005647 106.75)
+        (xy 120.985323 106.608082)
+        (xy 120.925984 106.477572)
+        (xy 120.8324 106.368963)
+        (xy 120.712095 106.290985)
+        (xy 120.574739 106.249907)
+        (xy 120.491497 106.249398)
+        (xy 120.438427 106.249074)
+        (xy 120.438426 106.249074)
+        (xy 120.431376 106.249031)
+        (xy 120.424599 106.250968)
+        (xy 120.424598 106.250968)
+        (xy 120.300309 106.28649)
+        (xy 120.300307 106.286491)
+        (xy 120.293529 106.288428)
+        (xy 120.17228 106.36493)
+        (xy 120.167613 106.370214)
+        (xy 120.167611 106.370216)
+        (xy 120.082044 106.467103)
+        (xy 120.082042 106.467105)
+        (xy 120.077377 106.472388)
+        (xy 120.016447 106.602163)
+        (xy 119.994391 106.743823)
+        (xy 115.004762 106.743823)
+        (xy 114.985323 106.608082)
+        (xy 114.925984 106.477572)
+        (xy 114.8324 106.368963)
+        (xy 114.712095 106.290985)
+        (xy 114.574739 106.249907)
+        (xy 114.491497 106.249398)
+        (xy 114.438427 106.249074)
+        (xy 114.438426 106.249074)
+        (xy 114.431376 106.249031)
+        (xy 114.424599 106.250968)
+        (xy 114.424598 106.250968)
+        (xy 114.300309 106.28649)
+        (xy 114.300307 106.286491)
+        (xy 114.293529 106.288428)
+        (xy 114.17228 106.36493)
+        (xy 114.167613 106.370214)
+        (xy 114.167611 106.370216)
+        (xy 114.082044 106.467103)
+        (xy 114.082042 106.467105)
+        (xy 114.077377 106.472388)
+        (xy 114.016447 106.602163)
+        (xy 113.994391 106.743823)
+        (xy 109.004762 106.743823)
+        (xy 108.985323 106.608082)
+        (xy 108.925984 106.477572)
+        (xy 108.8324 106.368963)
+        (xy 108.712095 106.290985)
+        (xy 108.574739 106.249907)
+        (xy 108.491497 106.249398)
+        (xy 108.438427 106.249074)
+        (xy 108.438426 106.249074)
+        (xy 108.431376 106.249031)
+        (xy 108.424599 106.250968)
+        (xy 108.424598 106.250968)
+        (xy 108.300309 106.28649)
+        (xy 108.300307 106.286491)
+        (xy 108.293529 106.288428)
+        (xy 108.17228 106.36493)
+        (xy 108.167613 106.370214)
+        (xy 108.167611 106.370216)
+        (xy 108.082044 106.467103)
+        (xy 108.082042 106.467105)
+        (xy 108.077377 106.472388)
+        (xy 108.016447 106.602163)
+        (xy 107.994391 106.743823)
+        (xy 96.7 106.743823)
+        (xy 96.7 103.785262)
+        (xy 97.69452 103.785262)
+        (xy 97.711759 103.990553)
+        (xy 97.768544 104.188586)
+        (xy 97.862712 104.371818)
+        (xy 97.990677 104.53327)
+        (xy 97.994357 104.536402)
+        (xy 97.994359 104.536404)
+        (xy 98.107017 104.632283)
+        (xy 98.147564 104.666791)
+        (xy 98.151787 104.669151)
+        (xy 98.151791 104.669154)
+        (xy 98.191342 104.691258)
+        (xy 98.327398 104.767297)
+        (xy 98.331996 104.768791)
+        (xy 98.518724 104.829463)
+        (xy 98.518726 104.829464)
+        (xy 98.523329 104.830959)
+        (xy 98.727894 104.855351)
+        (xy 98.732716 104.85498)
+        (xy 98.732719 104.85498)
+        (xy 98.803259 104.849552)
+        (xy 98.9333 104.839546)
+        (xy 99.131725 104.784145)
+        (xy 99.136038 104.781966)
+        (xy 99.136044 104.781964)
+        (xy 99.311289 104.693441)
+        (xy 99.311291 104.69344)
+        (xy 99.31561 104.691258)
+        (xy 99.343142 104.669748)
+        (xy 100.2395 104.669748)
+        (xy 100.251133 104.728231)
+        (xy 100.295448 104.794552)
+        (xy 100.361769 104.838867)
+        (xy 100.371332 104.840769)
+        (xy 100.371334 104.84077)
+        (xy 100.394005 104.845279)
+        (xy 100.420252 104.8505)
+        (xy 102.159748 104.8505)
+        (xy 102.185995 104.845279)
+        (xy 102.208666 104.84077)
+        (xy 102.208668 104.840769)
+        (xy 102.218231 104.838867)
+        (xy 102.284552 104.794552)
+        (xy 102.328867 104.728231)
+        (xy 102.3405 104.669748)
+        (xy 102.3405 103.368823)
+        (xy 111.299391 103.368823)
+        (xy 111.300306 103.37582)
+        (xy 111.300306 103.375821)
+        (xy 111.303779 103.40238)
+        (xy 111.31798 103.510979)
+        (xy 111.320821 103.517435)
+        (xy 111.320821 103.517436)
+        (xy 111.352901 103.590342)
+        (xy 111.37572 103.642203)
+        (xy 111.388792 103.657754)
+        (xy 111.463431 103.746549)
+        (xy 111.463434 103.746551)
+        (xy 111.46797 103.751948)
+        (xy 111.473841 103.755856)
+        (xy 111.473842 103.755857)
+        (xy 111.486143 103.764045)
+        (xy 111.587313 103.83139)
+        (xy 111.68792 103.862821)
+        (xy 111.717425 103.872039)
+        (xy 111.717426 103.872039)
+        (xy 111.724157 103.874142)
+        (xy 111.795828 103.875456)
+        (xy 111.860445 103.876641)
+        (xy 111.860447 103.876641)
+        (xy 111.867499 103.87677)
+        (xy 111.874302 103.874915)
+        (xy 111.874304 103.874915)
+        (xy 111.949503 103.854413)
+        (xy 112.005817 103.83906)
+        (xy 112.127991 103.764045)
+        (xy 112.135403 103.755857)
+        (xy 112.219468 103.662982)
+        (xy 112.2242 103.657754)
+        (xy 112.28671 103.528733)
+        (xy 112.290875 103.503982)
+        (xy 112.309862 103.391124)
+        (xy 112.309862 103.39112)
+        (xy 112.310496 103.387354)
+        (xy 112.310647 103.375)
+        (xy 112.290323 103.233082)
+        (xy 112.230984 103.102572)
+        (xy 112.1374 102.993963)
+        (xy 112.017095 102.915985)
+        (xy 111.879739 102.874907)
+        (xy 111.796497 102.874398)
+        (xy 111.743427 102.874074)
+        (xy 111.743426 102.874074)
+        (xy 111.736376 102.874031)
+        (xy 111.729599 102.875968)
+        (xy 111.729598 102.875968)
+        (xy 111.605309 102.91149)
+        (xy 111.605307 102.911491)
+        (xy 111.598529 102.913428)
+        (xy 111.47728 102.98993)
+        (xy 111.472613 102.995214)
+        (xy 111.472611 102.995216)
+        (xy 111.387044 103.092103)
+        (xy 111.387042 103.092105)
+        (xy 111.382377 103.097388)
+        (xy 111.321447 103.227163)
+        (xy 111.299391 103.368823)
+        (xy 102.3405 103.368823)
+        (xy 102.3405 102.930252)
+        (xy 102.328867 102.871769)
+        (xy 102.284552 102.805448)
+        (xy 102.218231 102.761133)
+        (xy 102.208668 102.759231)
+        (xy 102.208666 102.75923)
+        (xy 102.185995 102.754721)
+        (xy 102.159748 102.7495)
+        (xy 100.420252 102.7495)
+        (xy 100.394005 102.754721)
+        (xy 100.371334 102.75923)
+        (xy 100.371332 102.759231)
+        (xy 100.361769 102.761133)
+        (xy 100.295448 102.805448)
+        (xy 100.251133 102.871769)
+        (xy 100.2395 102.930252)
+        (xy 100.2395 104.669748)
+        (xy 99.343142 104.669748)
+        (xy 99.350943 104.663653)
+        (xy 99.474135 104.567406)
+        (xy 99.474139 104.567402)
+        (xy 99.477951 104.564424)
+        (xy 99.612564 104.408472)
+        (xy 99.631231 104.375613)
+        (xy 99.711934 104.23355)
+        (xy 99.711935 104.233547)
+        (xy 99.714323 104.229344)
+        (xy 99.727882 104.188586)
+        (xy 99.777824 104.038454)
+        (xy 99.777824 104.038452)
+        (xy 99.779351 104.033863)
+        (xy 99.805171 103.829474)
+        (xy 99.805583 103.8)
+        (xy 99.790641 103.647602)
+        (xy 99.785952 103.59978)
+        (xy 99.785951 103.599776)
+        (xy 99.78548 103.59497)
+        (xy 99.760122 103.510979)
+        (xy 99.727333 103.40238)
+        (xy 99.725935 103.397749)
+        (xy 99.629218 103.215849)
+        (xy 99.499011 103.0562)
+        (xy 99.425294 102.995216)
+        (xy 99.344002 102.927965)
+        (xy 99.344 102.927964)
+        (xy 99.340275 102.924882)
+        (xy 99.159055 102.826897)
+        (xy 99.089764 102.805448)
+        (xy 98.966875 102.767407)
+        (xy 98.966871 102.767406)
+        (xy 98.962254 102.765977)
+        (xy 98.957446 102.765472)
+        (xy 98.957443 102.765471)
+        (xy 98.762185 102.744949)
+        (xy 98.762183 102.744949)
+        (xy 98.757369 102.744443)
+        (xy 98.7018 102.7495)
+        (xy 98.557022 102.762675)
+        (xy 98.557017 102.762676)
+        (xy 98.552203 102.763114)
+        (xy 98.354572 102.82128)
+        (xy 98.350288 102.823519)
+        (xy 98.350287 102.82352)
+        (xy 98.339428 102.829197)
+        (xy 98.172002 102.916726)
+        (xy 98.168231 102.919758)
+        (xy 98.01522 103.042781)
+        (xy 98.015217 103.042783)
+        (xy 98.011447 103.045815)
+        (xy 98.008333 103.049526)
+        (xy 98.008332 103.049527)
+        (xy 97.958432 103.108996)
+        (xy 97.879024 103.20363)
+        (xy 97.876689 103.207878)
+        (xy 97.876688 103.207879)
+        (xy 97.869955 103.220126)
+        (xy 97.779776 103.384162)
+        (xy 97.778313 103.388775)
+        (xy 97.778311 103.388779)
+        (xy 97.724413 103.558689)
+        (xy 97.717484 103.580532)
+        (xy 97.716944 103.585344)
+        (xy 97.716944 103.585345)
+        (xy 97.696486 103.767737)
+        (xy 97.69452 103.785262)
+        (xy 96.7 103.785262)
+        (xy 96.7 101.245262)
+        (xy 97.69452 101.245262)
+        (xy 97.711759 101.450553)
+        (xy 97.768544 101.648586)
+        (xy 97.862712 101.831818)
+        (xy 97.990677 101.99327)
+        (xy 97.994357 101.996402)
+        (xy 97.994359 101.996404)
+        (xy 98.107017 102.092283)
+        (xy 98.147564 102.126791)
+        (xy 98.151787 102.129151)
+        (xy 98.151791 102.129154)
+        (xy 98.191342 102.151258)
+        (xy 98.327398 102.227297)
+        (xy 98.331996 102.228791)
+        (xy 98.518724 102.289463)
+        (xy 98.518726 102.289464)
+        (xy 98.523329 102.290959)
+        (xy 98.727894 102.315351)
+        (xy 98.732716 102.31498)
+        (xy 98.732719 102.31498)
+        (xy 98.800541 102.309761)
+        (xy 98.9333 102.299546)
+        (xy 99.131725 102.244145)
+        (xy 99.136038 102.241966)
+        (xy 99.136044 102.241964)
+        (xy 99.311289 102.153441)
+        (xy 99.311291 102.15344)
+        (xy 99.31561 102.151258)
+        (xy 99.350943 102.123653)
+        (xy 99.474135 102.027406)
+        (xy 99.474139 102.027402)
+        (xy 99.477951 102.024424)
+        (xy 99.612564 101.868472)
+        (xy 99.631231 101.835613)
+        (xy 99.711934 101.69355)
+        (xy 99.711935 101.693547)
+        (xy 99.714323 101.689344)
+        (xy 99.727882 101.648586)
+        (xy 99.777824 101.498454)
+        (xy 99.777824 101.498452)
+        (xy 99.779351 101.493863)
+        (xy 99.805171 101.289474)
+        (xy 99.805583 101.26)
+        (xy 99.804138 101.245262)
+        (xy 100.23452 101.245262)
+        (xy 100.251759 101.450553)
+        (xy 100.308544 101.648586)
+        (xy 100.402712 101.831818)
+        (xy 100.530677 101.99327)
+        (xy 100.534357 101.996402)
+        (xy 100.534359 101.996404)
+        (xy 100.647017 102.092283)
+        (xy 100.687564 102.126791)
+        (xy 100.691787 102.129151)
+        (xy 100.691791 102.129154)
+        (xy 100.731342 102.151258)
+        (xy 100.867398 102.227297)
+        (xy 100.871996 102.228791)
+        (xy 101.058724 102.289463)
+        (xy 101.058726 102.289464)
+        (xy 101.063329 102.290959)
+        (xy 101.267894 102.315351)
+        (xy 101.272716 102.31498)
+        (xy 101.272719 102.31498)
+        (xy 101.340541 102.309761)
+        (xy 101.4733 102.299546)
+        (xy 101.671725 102.244145)
+        (xy 101.676038 102.241966)
+        (xy 101.676044 102.241964)
+        (xy 101.851289 102.153441)
+        (xy 101.851291 102.15344)
+        (xy 101.85561 102.151258)
+        (xy 101.890943 102.123653)
+        (xy 102.014135 102.027406)
+        (xy 102.014139 102.027402)
+        (xy 102.017951 102.024424)
+        (xy 102.152564 101.868472)
+        (xy 102.171231 101.835613)
+        (xy 102.251934 101.69355)
+        (xy 102.251935 101.693547)
+        (xy 102.254323 101.689344)
+        (xy 102.267882 101.648586)
+        (xy 102.317824 101.498454)
+        (xy 102.317824 101.498452)
+        (xy 102.319351 101.493863)
+        (xy 102.345171 101.289474)
+        (xy 102.345583 101.26)
+        (xy 102.32548 101.05497)
+        (xy 102.265935 100.857749)
+        (xy 102.169218 100.675849)
+        (xy 102.039011 100.5162)
+        (xy 101.880275 100.384882)
+        (xy 101.699055 100.286897)
+        (xy 101.635855 100.267333)
+        (xy 101.506875 100.227407)
+        (xy 101.506871 100.227406)
+        (xy 101.502254 100.225977)
+        (xy 101.497446 100.225472)
+        (xy 101.497443 100.225471)
+        (xy 101.302185 100.204949)
+        (xy 101.302183 100.204949)
+        (xy 101.297369 100.204443)
+        (xy 101.237354 100.209905)
+        (xy 101.097022 100.222675)
+        (xy 101.097017 100.222676)
+        (xy 101.092203 100.223114)
+        (xy 100.894572 100.28128)
+        (xy 100.890288 100.283519)
+        (xy 100.890287 100.28352)
+        (xy 100.879428 100.289197)
+        (xy 100.712002 100.376726)
+        (xy 100.708231 100.379758)
+        (xy 100.55522 100.502781)
+        (xy 100.555217 100.502783)
+        (xy 100.551447 100.505815)
+        (xy 100.548333 100.509526)
+        (xy 100.548332 100.509527)
+        (xy 100.539585 100.519952)
+        (xy 100.419024 100.66363)
+        (xy 100.416689 100.667878)
+        (xy 100.416688 100.667879)
+        (xy 100.409955 100.680126)
+        (xy 100.319776 100.844162)
+        (xy 100.257484 101.040532)
+        (xy 100.256944 101.045344)
+        (xy 100.256944 101.045345)
+        (xy 100.255865 101.05497)
+        (xy 100.23452 101.245262)
+        (xy 99.804138 101.245262)
+        (xy 99.78548 101.05497)
+        (xy 99.725935 100.857749)
+        (xy 99.629218 100.675849)
+        (xy 99.499011 100.5162)
+        (xy 99.340275 100.384882)
+        (xy 99.159055 100.286897)
+        (xy 99.095855 100.267333)
+        (xy 98.966875 100.227407)
+        (xy 98.966871 100.227406)
+        (xy 98.962254 100.225977)
+        (xy 98.957446 100.225472)
+        (xy 98.957443 100.225471)
+        (xy 98.762185 100.204949)
+        (xy 98.762183 100.204949)
+        (xy 98.757369 100.204443)
+        (xy 98.697354 100.209905)
+        (xy 98.557022 100.222675)
+        (xy 98.557017 100.222676)
+        (xy 98.552203 100.223114)
+        (xy 98.354572 100.28128)
+        (xy 98.350288 100.283519)
+        (xy 98.350287 100.28352)
+        (xy 98.339428 100.289197)
+        (xy 98.172002 100.376726)
+        (xy 98.168231 100.379758)
+        (xy 98.01522 100.502781)
+        (xy 98.015217 100.502783)
+        (xy 98.011447 100.505815)
+        (xy 98.008333 100.509526)
+        (xy 98.008332 100.509527)
+        (xy 97.999585 100.519952)
+        (xy 97.879024 100.66363)
+        (xy 97.876689 100.667878)
+        (xy 97.876688 100.667879)
+        (xy 97.869955 100.680126)
+        (xy 97.779776 100.844162)
+        (xy 97.717484 101.040532)
+        (xy 97.716944 101.045344)
+        (xy 97.716944 101.045345)
+        (xy 97.715865 101.05497)
+        (xy 97.69452 101.245262)
+        (xy 96.7 101.245262)
+        (xy 96.7 98.705262)
+        (xy 97.69452 98.705262)
+        (xy 97.711759 98.910553)
+        (xy 97.768544 99.108586)
+        (xy 97.862712 99.291818)
+        (xy 97.990677 99.45327)
+        (xy 97.994357 99.456402)
+        (xy 97.994359 99.456404)
+        (xy 98.107017 99.552283)
+        (xy 98.147564 99.586791)
+        (xy 98.151787 99.589151)
+        (xy 98.151791 99.589154)
+        (xy 98.191342 99.611258)
+        (xy 98.327398 99.687297)
+        (xy 98.331996 99.688791)
+        (xy 98.518724 99.749463)
+        (xy 98.518726 99.749464)
+        (xy 98.523329 99.750959)
+        (xy 98.727894 99.775351)
+        (xy 98.732716 99.77498)
+        (xy 98.732719 99.77498)
+        (xy 98.800541 99.769761)
+        (xy 98.9333 99.759546)
+        (xy 99.131725 99.704145)
+        (xy 99.136038 99.701966)
+        (xy 99.136044 99.701964)
+        (xy 99.311289 99.613441)
+        (xy 99.311291 99.61344)
+        (xy 99.31561 99.611258)
+        (xy 99.350943 99.583653)
+        (xy 99.474135 99.487406)
+        (xy 99.474139 99.487402)
+        (xy 99.477951 99.484424)
+        (xy 99.612564 99.328472)
+        (xy 99.631231 99.295613)
+        (xy 99.711934 99.15355)
+        (xy 99.711935 99.153547)
+        (xy 99.714323 99.149344)
+        (xy 99.727882 99.108586)
+        (xy 99.777824 98.958454)
+        (xy 99.777824 98.958452)
+        (xy 99.779351 98.953863)
+        (xy 99.805171 98.749474)
+        (xy 99.805583 98.72)
+        (xy 99.804138 98.705262)
+        (xy 100.23452 98.705262)
+        (xy 100.251759 98.910553)
+        (xy 100.308544 99.108586)
+        (xy 100.402712 99.291818)
+        (xy 100.530677 99.45327)
+        (xy 100.534357 99.456402)
+        (xy 100.534359 99.456404)
+        (xy 100.647017 99.552283)
+        (xy 100.687564 99.586791)
+        (xy 100.691787 99.589151)
+        (xy 100.691791 99.589154)
+        (xy 100.731342 99.611258)
+        (xy 100.867398 99.687297)
+        (xy 100.871996 99.688791)
+        (xy 101.058724 99.749463)
+        (xy 101.058726 99.749464)
+        (xy 101.063329 99.750959)
+        (xy 101.267894 99.775351)
+        (xy 101.272716 99.77498)
+        (xy 101.272719 99.77498)
+        (xy 101.340541 99.769761)
+        (xy 101.4733 99.759546)
+        (xy 101.671725 99.704145)
+        (xy 101.676038 99.701966)
+        (xy 101.676044 99.701964)
+        (xy 101.851289 99.613441)
+        (xy 101.851291 99.61344)
+        (xy 101.85561 99.611258)
+        (xy 101.890943 99.583653)
+        (xy 102.014135 99.487406)
+        (xy 102.014139 99.487402)
+        (xy 102.017951 99.484424)
+        (xy 102.152564 99.328472)
+        (xy 102.171231 99.295613)
+        (xy 102.251934 99.15355)
+        (xy 102.251935 99.153547)
+        (xy 102.254323 99.149344)
+        (xy 102.267882 99.108586)
+        (xy 102.317824 98.958454)
+        (xy 102.317824 98.958452)
+        (xy 102.319351 98.953863)
+        (xy 102.345171 98.749474)
+        (xy 102.345583 98.72)
+        (xy 102.32548 98.51497)
+        (xy 102.265935 98.317749)
+        (xy 102.169218 98.135849)
+        (xy 102.039011 97.9762)
+        (xy 101.880275 97.844882)
+        (xy 101.699055 97.746897)
+        (xy 101.635855 97.727333)
+        (xy 101.506875 97.687407)
+        (xy 101.506871 97.687406)
+        (xy 101.502254 97.685977)
+        (xy 101.497446 97.685472)
+        (xy 101.497443 97.685471)
+        (xy 101.302185 97.664949)
+        (xy 101.302183 97.664949)
+        (xy 101.297369 97.664443)
+        (xy 101.237354 97.669905)
+        (xy 101.097022 97.682675)
+        (xy 101.097017 97.682676)
+        (xy 101.092203 97.683114)
+        (xy 100.894572 97.74128)
+        (xy 100.890288 97.743519)
+        (xy 100.890287 97.74352)
+        (xy 100.879428 97.749197)
+        (xy 100.712002 97.836726)
+        (xy 100.708231 97.839758)
+        (xy 100.55522 97.962781)
+        (xy 100.555217 97.962783)
+        (xy 100.551447 97.965815)
+        (xy 100.548333 97.969526)
+        (xy 100.548332 97.969527)
+        (xy 100.539585 97.979952)
+        (xy 100.419024 98.12363)
+        (xy 100.416689 98.127878)
+        (xy 100.416688 98.127879)
+        (xy 100.409955 98.140126)
+        (xy 100.319776 98.304162)
+        (xy 100.257484 98.500532)
+        (xy 100.256944 98.505344)
+        (xy 100.256944 98.505345)
+        (xy 100.255865 98.51497)
+        (xy 100.23452 98.705262)
+        (xy 99.804138 98.705262)
+        (xy 99.78548 98.51497)
+        (xy 99.725935 98.317749)
+        (xy 99.629218 98.135849)
+        (xy 99.499011 97.9762)
+        (xy 99.340275 97.844882)
+        (xy 99.159055 97.746897)
+        (xy 99.095855 97.727333)
+        (xy 98.966875 97.687407)
+        (xy 98.966871 97.687406)
+        (xy 98.962254 97.685977)
+        (xy 98.957446 97.685472)
+        (xy 98.957443 97.685471)
+        (xy 98.762185 97.664949)
+        (xy 98.762183 97.664949)
+        (xy 98.757369 97.664443)
+        (xy 98.697354 97.669905)
+        (xy 98.557022 97.682675)
+        (xy 98.557017 97.682676)
+        (xy 98.552203 97.683114)
+        (xy 98.354572 97.74128)
+        (xy 98.350288 97.743519)
+        (xy 98.350287 97.74352)
+        (xy 98.339428 97.749197)
+        (xy 98.172002 97.836726)
+        (xy 98.168231 97.839758)
+        (xy 98.01522 97.962781)
+        (xy 98.015217 97.962783)
+        (xy 98.011447 97.965815)
+        (xy 98.008333 97.969526)
+        (xy 98.008332 97.969527)
+        (xy 97.999585 97.979952)
+        (xy 97.879024 98.12363)
+        (xy 97.876689 98.127878)
+        (xy 97.876688 98.127879)
+        (xy 97.869955 98.140126)
+        (xy 97.779776 98.304162)
+        (xy 97.717484 98.500532)
+        (xy 97.716944 98.505344)
+        (xy 97.716944 98.505345)
+        (xy 97.715865 98.51497)
+        (xy 97.69452 98.705262)
+        (xy 96.7 98.705262)
+        (xy 96.7 96.165262)
+        (xy 97.69452 96.165262)
+        (xy 97.711759 96.370553)
+        (xy 97.713092 96.375201)
+        (xy 97.713092 96.375202)
+        (xy 97.76063 96.540985)
+        (xy 97.768544 96.568586)
+        (xy 97.862712 96.751818)
+        (xy 97.990677 96.91327)
+        (xy 97.994357 96.916402)
+        (xy 97.994359 96.916404)
+        (xy 98.077132 96.986849)
+        (xy 98.147564 97.046791)
+        (xy 98.151787 97.049151)
+        (xy 98.151791 97.049154)
+        (xy 98.191342 97.071258)
+        (xy 98.327398 97.147297)
+        (xy 98.331996 97.148791)
+        (xy 98.518724 97.209463)
+        (xy 98.518726 97.209464)
+        (xy 98.523329 97.210959)
+        (xy 98.727894 97.235351)
+        (xy 98.732716 97.23498)
+        (xy 98.732719 97.23498)
+        (xy 98.800541 97.229761)
+        (xy 98.9333 97.219546)
+        (xy 99.131725 97.164145)
+        (xy 99.136038 97.161966)
+        (xy 99.136044 97.161964)
+        (xy 99.311289 97.073441)
+        (xy 99.311291 97.07344)
+        (xy 99.31561 97.071258)
+        (xy 99.386179 97.016124)
+        (xy 99.474135 96.947406)
+        (xy 99.474139 96.947402)
+        (xy 99.477951 96.944424)
+        (xy 99.499832 96.919075)
+        (xy 99.563101 96.845776)
+        (xy 99.612564 96.788472)
+        (xy 99.631231 96.755613)
+        (xy 99.711934 96.61355)
+        (xy 99.711935 96.613547)
+        (xy 99.714323 96.609344)
+        (xy 99.727882 96.568586)
+        (xy 99.777824 96.418454)
+        (xy 99.777824 96.418452)
+        (xy 99.779351 96.413863)
+        (xy 99.784015 96.376948)
+        (xy 99.804823 96.212228)
+        (xy 99.805171 96.209474)
+        (xy 99.805583 96.18)
+        (xy 99.804138 96.165262)
+        (xy 100.23452 96.165262)
+        (xy 100.251759 96.370553)
+        (xy 100.253092 96.375201)
+        (xy 100.253092 96.375202)
+        (xy 100.30063 96.540985)
+        (xy 100.308544 96.568586)
+        (xy 100.402712 96.751818)
+        (xy 100.530677 96.91327)
+        (xy 100.534357 96.916402)
+        (xy 100.534359 96.916404)
+        (xy 100.617132 96.986849)
+        (xy 100.687564 97.046791)
+        (xy 100.691787 97.049151)
+        (xy 100.691791 97.049154)
+        (xy 100.731342 97.071258)
+        (xy 100.867398 97.147297)
+        (xy 100.871996 97.148791)
+        (xy 101.058724 97.209463)
+        (xy 101.058726 97.209464)
+        (xy 101.063329 97.210959)
+        (xy 101.267894 97.235351)
+        (xy 101.272716 97.23498)
+        (xy 101.272719 97.23498)
+        (xy 101.340541 97.229761)
+        (xy 101.4733 97.219546)
+        (xy 101.671725 97.164145)
+        (xy 101.676038 97.161966)
+        (xy 101.676044 97.161964)
+        (xy 101.851289 97.073441)
+        (xy 101.851291 97.07344)
+        (xy 101.85561 97.071258)
+        (xy 101.926179 97.016124)
+        (xy 101.954723 96.993823)
+        (xy 107.419391 96.993823)
+        (xy 107.420306 97.00082)
+        (xy 107.420306 97.000821)
+        (xy 107.421814 97.012354)
+        (xy 107.43798 97.135979)
+        (xy 107.440821 97.142435)
+        (xy 107.440821 97.142436)
+        (xy 107.481542 97.23498)
+        (xy 107.49572 97.267203)
+        (xy 107.508792 97.282754)
+        (xy 107.583431 97.371549)
+        (xy 107.583434 97.371551)
+        (xy 107.58797 97.376948)
+        (xy 107.593841 97.380856)
+        (xy 107.593842 97.380857)
+        (xy 107.598286 97.383815)
+        (xy 107.707313 97.45639)
+        (xy 107.80792 97.487821)
+        (xy 107.837425 97.497039)
+        (xy 107.837426 97.497039)
+        (xy 107.844157 97.499142)
+        (xy 107.915828 97.500456)
+        (xy 107.980445 97.501641)
+        (xy 107.980447 97.501641)
+        (xy 107.987499 97.50177)
+        (xy 107.994302 97.499915)
+        (xy 107.994304 97.499915)
+        (xy 108.069503 97.479413)
+        (xy 108.125817 97.46406)
+        (xy 108.247991 97.389045)
+        (xy 108.262646 97.372855)
+        (xy 108.315713 97.3424)
+        (xy 108.376546 97.348954)
+        (xy 108.401995 97.368167)
+        (xy 108.403174 97.366848)
+        (xy 108.408432 97.371549)
+        (xy 108.41297 97.376948)
+        (xy 108.418841 97.380856)
+        (xy 108.418842 97.380857)
+        (xy 108.423286 97.383815)
+        (xy 108.532313 97.45639)
+        (xy 108.63292 97.487821)
+        (xy 108.662425 97.497039)
+        (xy 108.662426 97.497039)
+        (xy 108.669157 97.499142)
+        (xy 108.740828 97.500456)
+        (xy 108.805445 97.501641)
+        (xy 108.805447 97.501641)
+        (xy 108.812499 97.50177)
+        (xy 108.819302 97.499915)
+        (xy 108.819304 97.499915)
+        (xy 108.894503 97.479413)
+        (xy 108.950817 97.46406)
+        (xy 109.072991 97.389045)
+        (xy 109.087646 97.372855)
+        (xy 109.140713 97.3424)
+        (xy 109.201546 97.348954)
+        (xy 109.226995 97.368167)
+        (xy 109.228174 97.366848)
+        (xy 109.233432 97.371549)
+        (xy 109.23797 97.376948)
+        (xy 109.243841 97.380856)
+        (xy 109.243842 97.380857)
+        (xy 109.248286 97.383815)
+        (xy 109.357313 97.45639)
+        (xy 109.45792 97.487821)
+        (xy 109.487425 97.497039)
+        (xy 109.487426 97.497039)
+        (xy 109.494157 97.499142)
+        (xy 109.565828 97.500456)
+        (xy 109.630445 97.501641)
+        (xy 109.630447 97.501641)
+        (xy 109.637499 97.50177)
+        (xy 109.644302 97.499915)
+        (xy 109.644304 97.499915)
+        (xy 109.719503 97.479413)
+        (xy 109.775817 97.46406)
+        (xy 109.897991 97.389045)
+        (xy 109.905403 97.380857)
+        (xy 109.989468 97.287982)
+        (xy 109.9942 97.282754)
+        (xy 110.05671 97.153733)
+        (xy 110.060875 97.128982)
+        (xy 110.079862 97.016124)
+        (xy 110.079862 97.01612)
+        (xy 110.080496 97.012354)
+        (xy 110.080647 97)
+        (xy 110.079762 96.993823)
+        (xy 110.419391 96.993823)
+        (xy 110.420306 97.00082)
+        (xy 110.420306 97.000821)
+        (xy 110.421814 97.012354)
+        (xy 110.43798 97.135979)
+        (xy 110.440821 97.142435)
+        (xy 110.440821 97.142436)
+        (xy 110.481542 97.23498)
+        (xy 110.49572 97.267203)
+        (xy 110.508792 97.282754)
+        (xy 110.583431 97.371549)
+        (xy 110.583434 97.371551)
+        (xy 110.58797 97.376948)
+        (xy 110.593841 97.380856)
+        (xy 110.593842 97.380857)
+        (xy 110.598286 97.383815)
+        (xy 110.707313 97.45639)
+        (xy 110.80792 97.487821)
+        (xy 110.837425 97.497039)
+        (xy 110.837426 97.497039)
+        (xy 110.844157 97.499142)
+        (xy 110.915828 97.500456)
+        (xy 110.980445 97.501641)
+        (xy 110.980447 97.501641)
+        (xy 110.987499 97.50177)
+        (xy 110.994302 97.499915)
+        (xy 110.994304 97.499915)
+        (xy 111.069503 97.479413)
+        (xy 111.125817 97.46406)
+        (xy 111.247991 97.389045)
+        (xy 111.255403 97.380857)
+        (xy 111.339468 97.287982)
+        (xy 111.3442 97.282754)
+        (xy 111.40671 97.153733)
+        (xy 111.410875 97.128982)
+        (xy 111.429862 97.016124)
+        (xy 111.429862 97.01612)
+        (xy 111.430496 97.012354)
+        (xy 111.430647 97)
+        (xy 111.429762 96.993823)
+        (xy 113.419391 96.993823)
+        (xy 113.420306 97.00082)
+        (xy 113.420306 97.000821)
+        (xy 113.421814 97.012354)
+        (xy 113.43798 97.135979)
+        (xy 113.440821 97.142435)
+        (xy 113.440821 97.142436)
+        (xy 113.481542 97.23498)
+        (xy 113.49572 97.267203)
+        (xy 113.508792 97.282754)
+        (xy 113.583431 97.371549)
+        (xy 113.583434 97.371551)
+        (xy 113.58797 97.376948)
+        (xy 113.593841 97.380856)
+        (xy 113.593842 97.380857)
+        (xy 113.598286 97.383815)
+        (xy 113.707313 97.45639)
+        (xy 113.80792 97.487821)
+        (xy 113.837425 97.497039)
+        (xy 113.837426 97.497039)
+        (xy 113.844157 97.499142)
+        (xy 113.915828 97.500456)
+        (xy 113.980445 97.501641)
+        (xy 113.980447 97.501641)
+        (xy 113.987499 97.50177)
+        (xy 113.994302 97.499915)
+        (xy 113.994304 97.499915)
+        (xy 114.069503 97.479413)
+        (xy 114.125817 97.46406)
+        (xy 114.247991 97.389045)
+        (xy 114.262646 97.372855)
+        (xy 114.315713 97.3424)
+        (xy 114.376546 97.348954)
+        (xy 114.401995 97.368167)
+        (xy 114.403174 97.366848)
+        (xy 114.408432 97.371549)
+        (xy 114.41297 97.376948)
+        (xy 114.418841 97.380856)
+        (xy 114.418842 97.380857)
+        (xy 114.423286 97.383815)
+        (xy 114.532313 97.45639)
+        (xy 114.63292 97.487821)
+        (xy 114.662425 97.497039)
+        (xy 114.662426 97.497039)
+        (xy 114.669157 97.499142)
+        (xy 114.740828 97.500456)
+        (xy 114.805445 97.501641)
+        (xy 114.805447 97.501641)
+        (xy 114.812499 97.50177)
+        (xy 114.819302 97.499915)
+        (xy 114.819304 97.499915)
+        (xy 114.894503 97.479413)
+        (xy 114.950817 97.46406)
+        (xy 115.072991 97.389045)
+        (xy 115.087646 97.372855)
+        (xy 115.140713 97.3424)
+        (xy 115.201546 97.348954)
+        (xy 115.226995 97.368167)
+        (xy 115.228174 97.366848)
+        (xy 115.233432 97.371549)
+        (xy 115.23797 97.376948)
+        (xy 115.243841 97.380856)
+        (xy 115.243842 97.380857)
+        (xy 115.248286 97.383815)
+        (xy 115.357313 97.45639)
+        (xy 115.45792 97.487821)
+        (xy 115.487425 97.497039)
+        (xy 115.487426 97.497039)
+        (xy 115.494157 97.499142)
+        (xy 115.565828 97.500456)
+        (xy 115.630445 97.501641)
+        (xy 115.630447 97.501641)
+        (xy 115.637499 97.50177)
+        (xy 115.644302 97.499915)
+        (xy 115.644304 97.499915)
+        (xy 115.719503 97.479413)
+        (xy 115.775817 97.46406)
+        (xy 115.897991 97.389045)
+        (xy 115.905403 97.380857)
+        (xy 115.989468 97.287982)
+        (xy 115.9942 97.282754)
+        (xy 116.05671 97.153733)
+        (xy 116.060875 97.128982)
+        (xy 116.079862 97.016124)
+        (xy 116.079862 97.01612)
+        (xy 116.080496 97.012354)
+        (xy 116.080647 97)
+        (xy 116.079762 96.993823)
+        (xy 116.419391 96.993823)
+        (xy 116.420306 97.00082)
+        (xy 116.420306 97.000821)
+        (xy 116.421814 97.012354)
+        (xy 116.43798 97.135979)
+        (xy 116.440821 97.142435)
+        (xy 116.440821 97.142436)
+        (xy 116.481542 97.23498)
+        (xy 116.49572 97.267203)
+        (xy 116.508792 97.282754)
+        (xy 116.583431 97.371549)
+        (xy 116.583434 97.371551)
+        (xy 116.58797 97.376948)
+        (xy 116.593841 97.380856)
+        (xy 116.593842 97.380857)
+        (xy 116.598286 97.383815)
+        (xy 116.707313 97.45639)
+        (xy 116.80792 97.487821)
+        (xy 116.837425 97.497039)
+        (xy 116.837426 97.497039)
+        (xy 116.844157 97.499142)
+        (xy 116.915828 97.500456)
+        (xy 116.980445 97.501641)
+        (xy 116.980447 97.501641)
+        (xy 116.987499 97.50177)
+        (xy 116.994302 97.499915)
+        (xy 116.994304 97.499915)
+        (xy 117.069503 97.479413)
+        (xy 117.125817 97.46406)
+        (xy 117.247991 97.389045)
+        (xy 117.255403 97.380857)
+        (xy 117.339468 97.287982)
+        (xy 117.3442 97.282754)
+        (xy 117.40671 97.153733)
+        (xy 117.410875 97.128982)
+        (xy 117.429862 97.016124)
+        (xy 117.429862 97.01612)
+        (xy 117.430496 97.012354)
+        (xy 117.430647 97)
+        (xy 117.429762 96.993823)
+        (xy 119.419391 96.993823)
+        (xy 119.420306 97.00082)
+        (xy 119.420306 97.000821)
+        (xy 119.421814 97.012354)
+        (xy 119.43798 97.135979)
+        (xy 119.440821 97.142435)
+        (xy 119.440821 97.142436)
+        (xy 119.481542 97.23498)
+        (xy 119.49572 97.267203)
+        (xy 119.508792 97.282754)
+        (xy 119.583431 97.371549)
+        (xy 119.583434 97.371551)
+        (xy 119.58797 97.376948)
+        (xy 119.593841 97.380856)
+        (xy 119.593842 97.380857)
+        (xy 119.598286 97.383815)
+        (xy 119.707313 97.45639)
+        (xy 119.80792 97.487821)
+        (xy 119.837425 97.497039)
+        (xy 119.837426 97.497039)
+        (xy 119.844157 97.499142)
+        (xy 119.915828 97.500456)
+        (xy 119.980445 97.501641)
+        (xy 119.980447 97.501641)
+        (xy 119.987499 97.50177)
+        (xy 119.994302 97.499915)
+        (xy 119.994304 97.499915)
+        (xy 120.069503 97.479413)
+        (xy 120.125817 97.46406)
+        (xy 120.247991 97.389045)
+        (xy 120.262646 97.372855)
+        (xy 120.315713 97.3424)
+        (xy 120.376546 97.348954)
+        (xy 120.401995 97.368167)
+        (xy 120.403174 97.366848)
+        (xy 120.408432 97.371549)
+        (xy 120.41297 97.376948)
+        (xy 120.418841 97.380856)
+        (xy 120.418842 97.380857)
+        (xy 120.423286 97.383815)
+        (xy 120.532313 97.45639)
+        (xy 120.63292 97.487821)
+        (xy 120.662425 97.497039)
+        (xy 120.662426 97.497039)
+        (xy 120.669157 97.499142)
+        (xy 120.740828 97.500456)
+        (xy 120.805445 97.501641)
+        (xy 120.805447 97.501641)
+        (xy 120.812499 97.50177)
+        (xy 120.819302 97.499915)
+        (xy 120.819304 97.499915)
+        (xy 120.894503 97.479413)
+        (xy 120.950817 97.46406)
+        (xy 121.072991 97.389045)
+        (xy 121.087646 97.372855)
+        (xy 121.140713 97.3424)
+        (xy 121.201546 97.348954)
+        (xy 121.226995 97.368167)
+        (xy 121.228174 97.366848)
+        (xy 121.233432 97.371549)
+        (xy 121.23797 97.376948)
+        (xy 121.243841 97.380856)
+        (xy 121.243842 97.380857)
+        (xy 121.248286 97.383815)
+        (xy 121.357313 97.45639)
+        (xy 121.45792 97.487821)
+        (xy 121.487425 97.497039)
+        (xy 121.487426 97.497039)
+        (xy 121.494157 97.499142)
+        (xy 121.565828 97.500456)
+        (xy 121.630445 97.501641)
+        (xy 121.630447 97.501641)
+        (xy 121.637499 97.50177)
+        (xy 121.644302 97.499915)
+        (xy 121.644304 97.499915)
+        (xy 121.719503 97.479413)
+        (xy 121.775817 97.46406)
+        (xy 121.897991 97.389045)
+        (xy 121.905403 97.380857)
+        (xy 121.989468 97.287982)
+        (xy 121.9942 97.282754)
+        (xy 122.05671 97.153733)
+        (xy 122.060875 97.128982)
+        (xy 122.079862 97.016124)
+        (xy 122.079862 97.01612)
+        (xy 122.080496 97.012354)
+        (xy 122.080647 97)
+        (xy 122.079762 96.993823)
+        (xy 122.419391 96.993823)
+        (xy 122.420306 97.00082)
+        (xy 122.420306 97.000821)
+        (xy 122.421814 97.012354)
+        (xy 122.43798 97.135979)
+        (xy 122.440821 97.142435)
+        (xy 122.440821 97.142436)
+        (xy 122.481542 97.23498)
+        (xy 122.49572 97.267203)
+        (xy 122.508792 97.282754)
+        (xy 122.583431 97.371549)
+        (xy 122.583434 97.371551)
+        (xy 122.58797 97.376948)
+        (xy 122.593841 97.380856)
+        (xy 122.593842 97.380857)
+        (xy 122.598286 97.383815)
+        (xy 122.707313 97.45639)
+        (xy 122.80792 97.487821)
+        (xy 122.837425 97.497039)
+        (xy 122.837426 97.497039)
+        (xy 122.844157 97.499142)
+        (xy 122.915828 97.500456)
+        (xy 122.980445 97.501641)
+        (xy 122.980447 97.501641)
+        (xy 122.987499 97.50177)
+        (xy 122.994302 97.499915)
+        (xy 122.994304 97.499915)
+        (xy 123.069503 97.479413)
+        (xy 123.125817 97.46406)
+        (xy 123.247991 97.389045)
+        (xy 123.255403 97.380857)
+        (xy 123.339468 97.287982)
+        (xy 123.3442 97.282754)
+        (xy 123.40671 97.153733)
+        (xy 123.410875 97.128982)
+        (xy 123.429862 97.016124)
+        (xy 123.429862 97.01612)
+        (xy 123.430496 97.012354)
+        (xy 123.430647 97)
+        (xy 123.410323 96.858082)
+        (xy 123.376758 96.78426)
+        (xy 123.353905 96.733996)
+        (xy 123.353904 96.733995)
+        (xy 123.350984 96.727572)
+        (xy 123.264297 96.626967)
+        (xy 123.262005 96.624307)
+        (xy 123.262004 96.624306)
+        (xy 123.2574 96.618963)
+        (xy 123.137095 96.540985)
+        (xy 122.999739 96.499907)
+        (xy 122.916497 96.499398)
+        (xy 122.863427 96.499074)
+        (xy 122.863426 96.499074)
+        (xy 122.856376 96.499031)
+        (xy 122.849599 96.500968)
+        (xy 122.849598 96.500968)
+        (xy 122.725309 96.53649)
+        (xy 122.725307 96.536491)
+        (xy 122.718529 96.538428)
+        (xy 122.59728 96.61493)
+        (xy 122.592613 96.620214)
+        (xy 122.592611 96.620216)
+        (xy 122.507044 96.717103)
+        (xy 122.507042 96.717105)
+        (xy 122.502377 96.722388)
+        (xy 122.441447 96.852163)
+        (xy 122.419391 96.993823)
+        (xy 122.079762 96.993823)
+        (xy 122.060323 96.858082)
+        (xy 122.026758 96.78426)
+        (xy 122.003905 96.733996)
+        (xy 122.003904 96.733995)
+        (xy 122.000984 96.727572)
+        (xy 121.914297 96.626967)
+        (xy 121.912005 96.624307)
+        (xy 121.912004 96.624306)
+        (xy 121.9074 96.618963)
+        (xy 121.787095 96.540985)
+        (xy 121.649739 96.499907)
+        (xy 121.566497 96.499398)
+        (xy 121.513427 96.499074)
+        (xy 121.513426 96.499074)
+        (xy 121.506376 96.499031)
+        (xy 121.499599 96.500968)
+        (xy 121.499598 96.500968)
+        (xy 121.375309 96.53649)
+        (xy 121.375307 96.536491)
+        (xy 121.368529 96.538428)
+        (xy 121.24728 96.61493)
+        (xy 121.242613 96.620214)
+        (xy 121.242611 96.620216)
+        (xy 121.237189 96.626356)
+        (xy 121.184497 96.657456)
+        (xy 121.123589 96.651646)
+        (xy 121.092485 96.628756)
+        (xy 121.092321 96.628944)
+        (xy 121.090055 96.626967)
+        (xy 121.087985 96.625444)
+        (xy 121.087006 96.624307)
+        (xy 121.087001 96.624303)
+        (xy 121.0824 96.618963)
+        (xy 120.962095 96.540985)
+        (xy 120.824739 96.499907)
+        (xy 120.741497 96.499398)
+        (xy 120.688427 96.499074)
+        (xy 120.688426 96.499074)
+        (xy 120.681376 96.499031)
+        (xy 120.674599 96.500968)
+        (xy 120.674598 96.500968)
+        (xy 120.550309 96.53649)
+        (xy 120.550307 96.536491)
+        (xy 120.543529 96.538428)
+        (xy 120.42228 96.61493)
+        (xy 120.417613 96.620214)
+        (xy 120.417611 96.620216)
+        (xy 120.412189 96.626356)
+        (xy 120.359497 96.657456)
+        (xy 120.298589 96.651646)
+        (xy 120.267485 96.628756)
+        (xy 120.267321 96.628944)
+        (xy 120.265055 96.626967)
+        (xy 120.262985 96.625444)
+        (xy 120.262006 96.624307)
+        (xy 120.262001 96.624303)
+        (xy 120.2574 96.618963)
+        (xy 120.137095 96.540985)
+        (xy 119.999739 96.499907)
+        (xy 119.916497 96.499398)
+        (xy 119.863427 96.499074)
+        (xy 119.863426 96.499074)
+        (xy 119.856376 96.499031)
+        (xy 119.849599 96.500968)
+        (xy 119.849598 96.500968)
+        (xy 119.725309 96.53649)
+        (xy 119.725307 96.536491)
+        (xy 119.718529 96.538428)
+        (xy 119.59728 96.61493)
+        (xy 119.592613 96.620214)
+        (xy 119.592611 96.620216)
+        (xy 119.507044 96.717103)
+        (xy 119.507042 96.717105)
+        (xy 119.502377 96.722388)
+        (xy 119.441447 96.852163)
+        (xy 119.419391 96.993823)
+        (xy 117.429762 96.993823)
+        (xy 117.410323 96.858082)
+        (xy 117.376758 96.78426)
+        (xy 117.353905 96.733996)
+        (xy 117.353904 96.733995)
+        (xy 117.350984 96.727572)
+        (xy 117.264297 96.626967)
+        (xy 117.262005 96.624307)
+        (xy 117.262004 96.624306)
+        (xy 117.2574 96.618963)
+        (xy 117.137095 96.540985)
+        (xy 116.999739 96.499907)
+        (xy 116.916497 96.499398)
+        (xy 116.863427 96.499074)
+        (xy 116.863426 96.499074)
+        (xy 116.856376 96.499031)
+        (xy 116.849599 96.500968)
+        (xy 116.849598 96.500968)
+        (xy 116.725309 96.53649)
+        (xy 116.725307 96.536491)
+        (xy 116.718529 96.538428)
+        (xy 116.59728 96.61493)
+        (xy 116.592613 96.620214)
+        (xy 116.592611 96.620216)
+        (xy 116.507044 96.717103)
+        (xy 116.507042 96.717105)
+        (xy 116.502377 96.722388)
+        (xy 116.441447 96.852163)
+        (xy 116.419391 96.993823)
+        (xy 116.079762 96.993823)
+        (xy 116.060323 96.858082)
+        (xy 116.026758 96.78426)
+        (xy 116.003905 96.733996)
+        (xy 116.003904 96.733995)
+        (xy 116.000984 96.727572)
+        (xy 115.914297 96.626967)
+        (xy 115.912005 96.624307)
+        (xy 115.912004 96.624306)
+        (xy 115.9074 96.618963)
+        (xy 115.787095 96.540985)
+        (xy 115.649739 96.499907)
+        (xy 115.566497 96.499398)
+        (xy 115.513427 96.499074)
+        (xy 115.513426 96.499074)
+        (xy 115.506376 96.499031)
+        (xy 115.499599 96.500968)
+        (xy 115.499598 96.500968)
+        (xy 115.375309 96.53649)
+        (xy 115.375307 96.536491)
+        (xy 115.368529 96.538428)
+        (xy 115.24728 96.61493)
+        (xy 115.242613 96.620214)
+        (xy 115.242611 96.620216)
+        (xy 115.237189 96.626356)
+        (xy 115.184497 96.657456)
+        (xy 115.123589 96.651646)
+        (xy 115.092485 96.628756)
+        (xy 115.092321 96.628944)
+        (xy 115.090055 96.626967)
+        (xy 115.087985 96.625444)
+        (xy 115.087006 96.624307)
+        (xy 115.087001 96.624303)
+        (xy 115.0824 96.618963)
+        (xy 114.962095 96.540985)
+        (xy 114.824739 96.499907)
+        (xy 114.741497 96.499398)
+        (xy 114.688427 96.499074)
+        (xy 114.688426 96.499074)
+        (xy 114.681376 96.499031)
+        (xy 114.674599 96.500968)
+        (xy 114.674598 96.500968)
+        (xy 114.550309 96.53649)
+        (xy 114.550307 96.536491)
+        (xy 114.543529 96.538428)
+        (xy 114.42228 96.61493)
+        (xy 114.417613 96.620214)
+        (xy 114.417611 96.620216)
+        (xy 114.412189 96.626356)
+        (xy 114.359497 96.657456)
+        (xy 114.298589 96.651646)
+        (xy 114.267485 96.628756)
+        (xy 114.267321 96.628944)
+        (xy 114.265055 96.626967)
+        (xy 114.262985 96.625444)
+        (xy 114.262006 96.624307)
+        (xy 114.262001 96.624303)
+        (xy 114.2574 96.618963)
+        (xy 114.137095 96.540985)
+        (xy 113.999739 96.499907)
+        (xy 113.916497 96.499398)
+        (xy 113.863427 96.499074)
+        (xy 113.863426 96.499074)
+        (xy 113.856376 96.499031)
+        (xy 113.849599 96.500968)
+        (xy 113.849598 96.500968)
+        (xy 113.725309 96.53649)
+        (xy 113.725307 96.536491)
+        (xy 113.718529 96.538428)
+        (xy 113.59728 96.61493)
+        (xy 113.592613 96.620214)
+        (xy 113.592611 96.620216)
+        (xy 113.507044 96.717103)
+        (xy 113.507042 96.717105)
+        (xy 113.502377 96.722388)
+        (xy 113.441447 96.852163)
+        (xy 113.419391 96.993823)
+        (xy 111.429762 96.993823)
+        (xy 111.410323 96.858082)
+        (xy 111.376758 96.78426)
+        (xy 111.353905 96.733996)
+        (xy 111.353904 96.733995)
+        (xy 111.350984 96.727572)
+        (xy 111.264297 96.626967)
+        (xy 111.262005 96.624307)
+        (xy 111.262004 96.624306)
+        (xy 111.2574 96.618963)
+        (xy 111.137095 96.540985)
+        (xy 110.999739 96.499907)
+        (xy 110.916497 96.499398)
+        (xy 110.863427 96.499074)
+        (xy 110.863426 96.499074)
+        (xy 110.856376 96.499031)
+        (xy 110.849599 96.500968)
+        (xy 110.849598 96.500968)
+        (xy 110.725309 96.53649)
+        (xy 110.725307 96.536491)
+        (xy 110.718529 96.538428)
+        (xy 110.59728 96.61493)
+        (xy 110.592613 96.620214)
+        (xy 110.592611 96.620216)
+        (xy 110.507044 96.717103)
+        (xy 110.507042 96.717105)
+        (xy 110.502377 96.722388)
+        (xy 110.441447 96.852163)
+        (xy 110.419391 96.993823)
+        (xy 110.079762 96.993823)
+        (xy 110.060323 96.858082)
+        (xy 110.026758 96.78426)
+        (xy 110.003905 96.733996)
+        (xy 110.003904 96.733995)
+        (xy 110.000984 96.727572)
+        (xy 109.914297 96.626967)
+        (xy 109.912005 96.624307)
+        (xy 109.912004 96.624306)
+        (xy 109.9074 96.618963)
+        (xy 109.787095 96.540985)
+        (xy 109.649739 96.499907)
+        (xy 109.566497 96.499398)
+        (xy 109.513427 96.499074)
+        (xy 109.513426 96.499074)
+        (xy 109.506376 96.499031)
+        (xy 109.499599 96.500968)
+        (xy 109.499598 96.500968)
+        (xy 109.375309 96.53649)
+        (xy 109.375307 96.536491)
+        (xy 109.368529 96.538428)
+        (xy 109.24728 96.61493)
+        (xy 109.242613 96.620214)
+        (xy 109.242611 96.620216)
+        (xy 109.237189 96.626356)
+        (xy 109.184497 96.657456)
+        (xy 109.123589 96.651646)
+        (xy 109.092485 96.628756)
+        (xy 109.092321 96.628944)
+        (xy 109.090055 96.626967)
+        (xy 109.087985 96.625444)
+        (xy 109.087006 96.624307)
+        (xy 109.087001 96.624303)
+        (xy 109.0824 96.618963)
+        (xy 108.962095 96.540985)
+        (xy 108.824739 96.499907)
+        (xy 108.741497 96.499398)
+        (xy 108.688427 96.499074)
+        (xy 108.688426 96.499074)
+        (xy 108.681376 96.499031)
+        (xy 108.674599 96.500968)
+        (xy 108.674598 96.500968)
+        (xy 108.550309 96.53649)
+        (xy 108.550307 96.536491)
+        (xy 108.543529 96.538428)
+        (xy 108.42228 96.61493)
+        (xy 108.417613 96.620214)
+        (xy 108.417611 96.620216)
+        (xy 108.412189 96.626356)
+        (xy 108.359497 96.657456)
+        (xy 108.298589 96.651646)
+        (xy 108.267485 96.628756)
+        (xy 108.267321 96.628944)
+        (xy 108.265055 96.626967)
+        (xy 108.262985 96.625444)
+        (xy 108.262006 96.624307)
+        (xy 108.262001 96.624303)
+        (xy 108.2574 96.618963)
+        (xy 108.137095 96.540985)
+        (xy 107.999739 96.499907)
+        (xy 107.916497 96.499398)
+        (xy 107.863427 96.499074)
+        (xy 107.863426 96.499074)
+        (xy 107.856376 96.499031)
+        (xy 107.849599 96.500968)
+        (xy 107.849598 96.500968)
+        (xy 107.725309 96.53649)
+        (xy 107.725307 96.536491)
+        (xy 107.718529 96.538428)
+        (xy 107.59728 96.61493)
+        (xy 107.592613 96.620214)
+        (xy 107.592611 96.620216)
+        (xy 107.507044 96.717103)
+        (xy 107.507042 96.717105)
+        (xy 107.502377 96.722388)
+        (xy 107.441447 96.852163)
+        (xy 107.419391 96.993823)
+        (xy 101.954723 96.993823)
+        (xy 102.014135 96.947406)
+        (xy 102.014139 96.947402)
+        (xy 102.017951 96.944424)
+        (xy 102.039832 96.919075)
+        (xy 102.103101 96.845776)
+        (xy 102.152564 96.788472)
+        (xy 102.171231 96.755613)
+        (xy 102.251934 96.61355)
+        (xy 102.251935 96.613547)
+        (xy 102.254323 96.609344)
+        (xy 102.267882 96.568586)
+        (xy 102.317824 96.418454)
+        (xy 102.317824 96.418452)
+        (xy 102.319351 96.413863)
+        (xy 102.324015 96.376948)
+        (xy 102.344823 96.212228)
+        (xy 102.345171 96.209474)
+        (xy 102.345583 96.18)
+        (xy 102.343008 96.153733)
+        (xy 102.327329 95.993823)
+        (xy 104.994391 95.993823)
+        (xy 104.995306 96.00082)
+        (xy 104.995306 96.000821)
+        (xy 104.996814 96.012354)
+        (xy 105.01298 96.135979)
+        (xy 105.015821 96.142435)
+        (xy 105.015821 96.142436)
+        (xy 105.045319 96.209474)
+        (xy 105.07072 96.267203)
+        (xy 105.083792 96.282754)
+        (xy 105.158431 96.371549)
+        (xy 105.158434 96.371551)
+        (xy 105.16297 96.376948)
+        (xy 105.168841 96.380856)
+        (xy 105.168842 96.380857)
+        (xy 105.181143 96.389045)
+        (xy 105.282313 96.45639)
+        (xy 105.38292 96.487821)
+        (xy 105.412425 96.497039)
+        (xy 105.412426 96.497039)
+        (xy 105.419157 96.499142)
+        (xy 105.490828 96.500456)
+        (xy 105.555445 96.501641)
+        (xy 105.555447 96.501641)
+        (xy 105.562499 96.50177)
+        (xy 105.569302 96.499915)
+        (xy 105.569304 96.499915)
+        (xy 105.644503 96.479413)
+        (xy 105.700817 96.46406)
+        (xy 105.822991 96.389045)
+        (xy 105.830403 96.380857)
+        (xy 105.914468 96.287982)
+        (xy 105.9192 96.282754)
+        (xy 105.98171 96.153733)
+        (xy 105.985875 96.128982)
+        (xy 106.004862 96.016124)
+        (xy 106.004862 96.01612)
+        (xy 106.005496 96.012354)
+        (xy 106.005647 96)
+        (xy 105.985323 95.858082)
+        (xy 105.944003 95.767203)
+        (xy 105.928905 95.733996)
+        (xy 105.928904 95.733995)
+        (xy 105.925984 95.727572)
+        (xy 105.86236 95.653733)
+        (xy 105.837005 95.624307)
+        (xy 105.837004 95.624306)
+        (xy 105.8324 95.618963)
+        (xy 105.712095 95.540985)
+        (xy 105.574739 95.499907)
+        (xy 105.491497 95.499398)
+        (xy 105.438427 95.499074)
+        (xy 105.438426 95.499074)
+        (xy 105.431376 95.499031)
+        (xy 105.424599 95.500968)
+        (xy 105.424598 95.500968)
+        (xy 105.300309 95.53649)
+        (xy 105.300307 95.536491)
+        (xy 105.293529 95.538428)
+        (xy 105.17228 95.61493)
+        (xy 105.167613 95.620214)
+        (xy 105.167611 95.620216)
+        (xy 105.082044 95.717103)
+        (xy 105.082042 95.717105)
+        (xy 105.077377 95.722388)
+        (xy 105.016447 95.852163)
+        (xy 105.015362 95.859132)
+        (xy 105.015361 95.859135)
+        (xy 105.000828 95.952482)
+        (xy 104.994391 95.993823)
+        (xy 102.327329 95.993823)
+        (xy 102.325952 95.97978)
+        (xy 102.325951 95.979776)
+        (xy 102.32548 95.97497)
+        (xy 102.321073 95.960371)
+        (xy 102.286474 95.845776)
+        (xy 102.265935 95.777749)
+        (xy 102.169218 95.595849)
+        (xy 102.039011 95.4362)
+        (xy 101.999765 95.403733)
+        (xy 101.884002 95.307965)
+        (xy 101.884 95.307964)
+        (xy 101.880275 95.304882)
+        (xy 101.730742 95.22403)
+        (xy 101.703309 95.209197)
+        (xy 101.703308 95.209197)
+        (xy 101.699055 95.206897)
+        (xy 101.635855 95.187333)
+        (xy 101.506875 95.147407)
+        (xy 101.506871 95.147406)
+        (xy 101.502254 95.145977)
+        (xy 101.497446 95.145472)
+        (xy 101.497443 95.145471)
+        (xy 101.302185 95.124949)
+        (xy 101.302183 95.124949)
+        (xy 101.297369 95.124443)
+        (xy 101.237354 95.129905)
+        (xy 101.097022 95.142675)
+        (xy 101.097017 95.142676)
+        (xy 101.092203 95.143114)
+        (xy 100.894572 95.20128)
+        (xy 100.890288 95.203519)
+        (xy 100.890287 95.20352)
+        (xy 100.826535 95.236849)
+        (xy 100.712002 95.296726)
+        (xy 100.708231 95.299758)
+        (xy 100.55522 95.422781)
+        (xy 100.555217 95.422783)
+        (xy 100.551447 95.425815)
+        (xy 100.548333 95.429526)
+        (xy 100.548332 95.429527)
+        (xy 100.453796 95.542191)
+        (xy 100.419024 95.58363)
+        (xy 100.416689 95.587878)
+        (xy 100.416688 95.587879)
+        (xy 100.409955 95.600126)
+        (xy 100.319776 95.764162)
+        (xy 100.318313 95.768775)
+        (xy 100.318311 95.768779)
+        (xy 100.289983 95.858082)
+        (xy 100.257484 95.960532)
+        (xy 100.256944 95.965344)
+        (xy 100.256944 95.965345)
+        (xy 100.236594 96.146775)
+        (xy 100.23452 96.165262)
+        (xy 99.804138 96.165262)
+        (xy 99.803008 96.153733)
+        (xy 99.785952 95.97978)
+        (xy 99.785951 95.979776)
+        (xy 99.78548 95.97497)
+        (xy 99.781073 95.960371)
+        (xy 99.746474 95.845776)
+        (xy 99.725935 95.777749)
+        (xy 99.629218 95.595849)
+        (xy 99.499011 95.4362)
+        (xy 99.459765 95.403733)
+        (xy 99.344002 95.307965)
+        (xy 99.344 95.307964)
+        (xy 99.340275 95.304882)
+        (xy 99.190742 95.22403)
+        (xy 99.163309 95.209197)
+        (xy 99.163308 95.209197)
+        (xy 99.159055 95.206897)
+        (xy 99.095855 95.187333)
+        (xy 98.966875 95.147407)
+        (xy 98.966871 95.147406)
+        (xy 98.962254 95.145977)
+        (xy 98.957446 95.145472)
+        (xy 98.957443 95.145471)
+        (xy 98.762185 95.124949)
+        (xy 98.762183 95.124949)
+        (xy 98.757369 95.124443)
+        (xy 98.697354 95.129905)
+        (xy 98.557022 95.142675)
+        (xy 98.557017 95.142676)
+        (xy 98.552203 95.143114)
+        (xy 98.354572 95.20128)
+        (xy 98.350288 95.203519)
+        (xy 98.350287 95.20352)
+        (xy 98.286535 95.236849)
+        (xy 98.172002 95.296726)
+        (xy 98.168231 95.299758)
+        (xy 98.01522 95.422781)
+        (xy 98.015217 95.422783)
+        (xy 98.011447 95.425815)
+        (xy 98.008333 95.429526)
+        (xy 98.008332 95.429527)
+        (xy 97.913796 95.542191)
+        (xy 97.879024 95.58363)
+        (xy 97.876689 95.587878)
+        (xy 97.876688 95.587879)
+        (xy 97.869955 95.600126)
+        (xy 97.779776 95.764162)
+        (xy 97.778313 95.768775)
+        (xy 97.778311 95.768779)
+        (xy 97.749983 95.858082)
+        (xy 97.717484 95.960532)
+        (xy 97.716944 95.965344)
+        (xy 97.716944 95.965345)
+        (xy 97.696594 96.146775)
+        (xy 97.69452 96.165262)
+        (xy 96.7 96.165262)
+        (xy 96.7 93.993823)
+        (xy 111.934391 93.993823)
+        (xy 111.935306 94.00082)
+        (xy 111.935306 94.000821)
+        (xy 111.936814 94.012354)
+        (xy 111.95298 94.135979)
+        (xy 111.955821 94.142435)
+        (xy 111.955821 94.142436)
+        (xy 112.00311 94.249907)
+        (xy 112.01072 94.267203)
+        (xy 112.029012 94.288964)
+        (xy 112.098431 94.371549)
+        (xy 112.098434 94.371551)
+        (xy 112.10297 94.376948)
+        (xy 112.108841 94.380856)
+        (xy 112.108842 94.380857)
+        (xy 112.121143 94.389045)
+        (xy 112.222313 94.45639)
+        (xy 112.32292 94.487821)
+        (xy 112.352425 94.497039)
+        (xy 112.352426 94.497039)
+        (xy 112.359157 94.499142)
+        (xy 112.430828 94.500456)
+        (xy 112.495445 94.501641)
+        (xy 112.495447 94.501641)
+        (xy 112.502499 94.50177)
+        (xy 112.509302 94.499915)
+        (xy 112.509304 94.499915)
+        (xy 112.61027 94.472388)
+        (xy 112.640817 94.46406)
+        (xy 112.762991 94.389045)
+        (xy 112.770403 94.380857)
+        (xy 112.854468 94.287982)
+        (xy 112.8592 94.282754)
+        (xy 112.92171 94.153733)
+        (xy 112.925875 94.128982)
+        (xy 112.944862 94.016124)
+        (xy 112.944862 94.01612)
+        (xy 112.945496 94.012354)
+        (xy 112.945647 94)
+        (xy 112.944762 93.993823)
+        (xy 114.811891 93.993823)
+        (xy 114.812806 94.00082)
+        (xy 114.812806 94.000821)
+        (xy 114.814314 94.012354)
+        (xy 114.83048 94.135979)
+        (xy 114.833321 94.142435)
+        (xy 114.833321 94.142436)
+        (xy 114.88061 94.249907)
+        (xy 114.88822 94.267203)
+        (xy 114.906512 94.288964)
+        (xy 114.975931 94.371549)
+        (xy 114.975934 94.371551)
+        (xy 114.98047 94.376948)
+        (xy 114.986341 94.380856)
+        (xy 114.986342 94.380857)
+        (xy 114.998643 94.389045)
+        (xy 115.099813 94.45639)
+        (xy 115.20042 94.487821)
+        (xy 115.229925 94.497039)
+        (xy 115.229926 94.497039)
+        (xy 115.236657 94.499142)
+        (xy 115.302663 94.500352)
+        (xy 115.370815 94.501602)
+        (xy 115.428649 94.521573)
+        (xy 115.4637 94.571724)
+        (xy 115.466821 94.615815)
+        (xy 115.446891 94.743823)
+        (xy 115.447806 94.75082)
+        (xy 115.447806 94.750821)
+        (xy 115.462753 94.865126)
+        (xy 115.46548 94.885979)
+        (xy 115.468321 94.892435)
+        (xy 115.468321 94.892436)
+        (xy 115.51561 94.999907)
+        (xy 115.52322 95.017203)
+        (xy 115.543211 95.040985)
+        (xy 115.610931 95.121549)
+        (xy 115.610934 95.121551)
+        (xy 115.61547 95.126948)
+        (xy 115.621341 95.130856)
+        (xy 115.621342 95.130857)
+        (xy 115.641809 95.144481)
+        (xy 115.734813 95.20639)
+        (xy 115.832309 95.236849)
+        (xy 115.864925 95.247039)
+        (xy 115.864926 95.247039)
+        (xy 115.871657 95.249142)
+        (xy 115.943328 95.250456)
+        (xy 116.007945 95.251641)
+        (xy 116.007947 95.251641)
+        (xy 116.014999 95.25177)
+        (xy 116.021802 95.249915)
+        (xy 116.021804 95.249915)
+        (xy 116.044149 95.243823)
+        (xy 118.494391 95.243823)
+        (xy 118.495306 95.25082)
+        (xy 118.495306 95.250821)
+        (xy 118.504013 95.317403)
+        (xy 118.51298 95.385979)
+        (xy 118.515821 95.392435)
+        (xy 118.515821 95.392436)
+        (xy 118.563512 95.500821)
+        (xy 118.57072 95.517203)
+        (xy 118.589012 95.538964)
+        (xy 118.658431 95.621549)
+        (xy 118.658434 95.621551)
+        (xy 118.66297 95.626948)
+        (xy 118.668841 95.630856)
+        (xy 118.668842 95.630857)
+        (xy 118.676537 95.635979)
+        (xy 118.782313 95.70639)
+        (xy 118.88292 95.737821)
+        (xy 118.912425 95.747039)
+        (xy 118.912426 95.747039)
+        (xy 118.919157 95.749142)
+        (xy 118.990828 95.750456)
+        (xy 119.055445 95.751641)
+        (xy 119.055447 95.751641)
+        (xy 119.062499 95.75177)
+        (xy 119.069302 95.749915)
+        (xy 119.069304 95.749915)
+        (xy 119.17027 95.722388)
+        (xy 119.200817 95.71406)
+        (xy 119.322991 95.639045)
+        (xy 119.330403 95.630857)
+        (xy 119.414468 95.537982)
+        (xy 119.4192 95.532754)
+        (xy 119.48171 95.403733)
+        (xy 119.485875 95.378982)
+        (xy 119.504862 95.266124)
+        (xy 119.504862 95.26612)
+        (xy 119.505496 95.262354)
+        (xy 119.505647 95.25)
+        (xy 119.485323 95.108082)
+        (xy 119.425984 94.977572)
+        (xy 119.356366 94.896777)
+        (xy 119.337005 94.874307)
+        (xy 119.337004 94.874306)
+        (xy 119.3324 94.868963)
+        (xy 119.212095 94.790985)
+        (xy 119.074739 94.749907)
+        (xy 118.991497 94.749398)
+        (xy 118.938427 94.749074)
+        (xy 118.938426 94.749074)
+        (xy 118.931376 94.749031)
+        (xy 118.924599 94.750968)
+        (xy 118.924598 94.750968)
+        (xy 118.800309 94.78649)
+        (xy 118.800307 94.786491)
+        (xy 118.793529 94.788428)
+        (xy 118.67228 94.86493)
+        (xy 118.667613 94.870214)
+        (xy 118.667611 94.870216)
+        (xy 118.582044 94.967103)
+        (xy 118.582042 94.967105)
+        (xy 118.577377 94.972388)
+        (xy 118.574381 94.97877)
+        (xy 118.57438 94.978771)
+        (xy 118.564527 94.999757)
+        (xy 118.516447 95.102163)
+        (xy 118.515362 95.109132)
+        (xy 118.515361 95.109135)
+        (xy 118.501227 95.199915)
+        (xy 118.494391 95.243823)
+        (xy 116.044149 95.243823)
+        (xy 116.103756 95.227572)
+        (xy 116.153317 95.21406)
+        (xy 116.275491 95.139045)
+        (xy 116.282903 95.130857)
+        (xy 116.366968 95.037982)
+        (xy 116.3717 95.032754)
+        (xy 116.43421 94.903733)
+        (xy 116.438375 94.878982)
+        (xy 116.457362 94.766124)
+        (xy 116.457362 94.76612)
+        (xy 116.457996 94.762354)
+        (xy 116.458147 94.75)
+        (xy 116.457262 94.743823)
+        (xy 119.609391 94.743823)
+        (xy 119.610306 94.75082)
+        (xy 119.610306 94.750821)
+        (xy 119.625253 94.865126)
+        (xy 119.62798 94.885979)
+        (xy 119.630821 94.892435)
+        (xy 119.630821 94.892436)
+        (xy 119.67811 94.999907)
+        (xy 119.68572 95.017203)
+        (xy 119.705711 95.040985)
+        (xy 119.773431 95.121549)
+        (xy 119.773434 95.121551)
+        (xy 119.77797 95.126948)
+        (xy 119.783841 95.130856)
+        (xy 119.783842 95.130857)
+        (xy 119.804309 95.144481)
+        (xy 119.897313 95.20639)
+        (xy 119.904041 95.208492)
+        (xy 119.953776 95.22403)
+        (xy 120.00368 95.25943)
+        (xy 120.023247 95.317403)
+        (xy 120.01905 95.346619)
+        (xy 120.016447 95.352163)
+        (xy 119.994391 95.493823)
+        (xy 119.995306 95.50082)
+        (xy 119.995306 95.500821)
+        (xy 120.010253 95.615126)
+        (xy 120.01298 95.635979)
+        (xy 120.015821 95.642435)
+        (xy 120.015821 95.642436)
+        (xy 120.063873 95.751641)
+        (xy 120.07072 95.767203)
+        (xy 120.079585 95.777749)
+        (xy 120.158431 95.871549)
+        (xy 120.158434 95.871551)
+        (xy 120.16297 95.876948)
+        (xy 120.168841 95.880856)
+        (xy 120.168842 95.880857)
+        (xy 120.181143 95.889045)
+        (xy 120.282313 95.95639)
+        (xy 120.357182 95.97978)
+        (xy 120.412425 95.997039)
+        (xy 120.412426 95.997039)
+        (xy 120.419157 95.999142)
+        (xy 120.490828 96.000456)
+        (xy 120.555445 96.001641)
+        (xy 120.555447 96.001641)
+        (xy 120.562499 96.00177)
+        (xy 120.569302 95.999915)
+        (xy 120.569304 95.999915)
+        (xy 120.677775 95.970342)
+        (xy 120.700817 95.96406)
+        (xy 120.822991 95.889045)
+        (xy 120.830403 95.880857)
+        (xy 120.914468 95.787982)
+        (xy 120.9192 95.782754)
+        (xy 120.98171 95.653733)
+        (xy 120.984182 95.639045)
+        (xy 121.004862 95.516124)
+        (xy 121.004862 95.51612)
+        (xy 121.005496 95.512354)
+        (xy 121.005647 95.5)
+        (xy 120.985323 95.358082)
+        (xy 120.956407 95.294484)
+        (xy 120.928905 95.233996)
+        (xy 120.928904 95.233995)
+        (xy 120.925984 95.227572)
+        (xy 120.842649 95.130857)
+        (xy 120.837005 95.124307)
+        (xy 120.837004 95.124306)
+        (xy 120.8324 95.118963)
+        (xy 120.712095 95.040985)
+        (xy 120.662423 95.02613)
+        (xy 120.612089 94.991343)
+        (xy 120.591815 94.933614)
+        (xy 120.595854 94.905499)
+        (xy 120.59671 94.903733)
+        (xy 120.620496 94.762354)
+        (xy 120.620647 94.75)
+        (xy 120.600323 94.608082)
+        (xy 120.56099 94.521573)
+        (xy 120.543905 94.483996)
+        (xy 120.543904 94.483995)
+        (xy 120.540984 94.477572)
+        (xy 120.457649 94.380857)
+        (xy 120.452005 94.374307)
+        (xy 120.452004 94.374306)
+        (xy 120.4474 94.368963)
+        (xy 120.327095 94.290985)
+        (xy 120.189739 94.249907)
+        (xy 120.106497 94.249398)
+        (xy 120.053427 94.249074)
+        (xy 120.053426 94.249074)
+        (xy 120.046376 94.249031)
+        (xy 120.039599 94.250968)
+        (xy 120.039598 94.250968)
+        (xy 119.915309 94.28649)
+        (xy 119.915307 94.286491)
+        (xy 119.908529 94.288428)
+        (xy 119.78728 94.36493)
+        (xy 119.782613 94.370214)
+        (xy 119.782611 94.370216)
+        (xy 119.697044 94.467103)
+        (xy 119.697042 94.467105)
+        (xy 119.692377 94.472388)
+        (xy 119.689381 94.47877)
+        (xy 119.68938 94.478771)
+        (xy 119.679453 94.499915)
+        (xy 119.631447 94.602163)
+        (xy 119.609391 94.743823)
+        (xy 116.457262 94.743823)
+        (xy 116.43849 94.61274)
+        (xy 116.448957 94.552456)
+        (xy 116.492859 94.509838)
+        (xy 116.538304 94.499722)
+        (xy 116.58273 94.500537)
+        (xy 116.642946 94.501641)
+        (xy 116.642948 94.501641)
+        (xy 116.649999 94.50177)
+        (xy 116.656802 94.499915)
+        (xy 116.656804 94.499915)
+        (xy 116.75777 94.472388)
+        (xy 116.788317 94.46406)
+        (xy 116.910491 94.389045)
+        (xy 116.917903 94.380857)
+        (xy 117.001968 94.287982)
+        (xy 117.0067 94.282754)
+        (xy 117.06921 94.153733)
+        (xy 117.073375 94.128982)
+        (xy 117.092362 94.016124)
+        (xy 117.092362 94.01612)
+        (xy 117.092996 94.012354)
+        (xy 117.093147 94)
+        (xy 117.092262 93.993823)
+        (xy 120.879391 93.993823)
+        (xy 120.880306 94.00082)
+        (xy 120.880306 94.000821)
+        (xy 120.881814 94.012354)
+        (xy 120.89798 94.135979)
+        (xy 120.900821 94.142435)
+        (xy 120.900821 94.142436)
+        (xy 120.94811 94.249907)
+        (xy 120.95572 94.267203)
+        (xy 120.974012 94.288964)
+        (xy 121.043431 94.371549)
+        (xy 121.043434 94.371551)
+        (xy 121.04797 94.376948)
+        (xy 121.053841 94.380856)
+        (xy 121.053842 94.380857)
+        (xy 121.066143 94.389045)
+        (xy 121.167313 94.45639)
+        (xy 121.26792 94.487821)
+        (xy 121.297425 94.497039)
+        (xy 121.297426 94.497039)
+        (xy 121.304157 94.499142)
+        (xy 121.375828 94.500456)
+        (xy 121.440445 94.501641)
+        (xy 121.440447 94.501641)
+        (xy 121.447499 94.50177)
+        (xy 121.454302 94.499915)
+        (xy 121.454304 94.499915)
+        (xy 121.55527 94.472388)
+        (xy 121.585817 94.46406)
+        (xy 121.707991 94.389045)
+        (xy 121.715403 94.380857)
+        (xy 121.799468 94.287982)
+        (xy 121.8042 94.282754)
+        (xy 121.86671 94.153733)
+        (xy 121.870875 94.128982)
+        (xy 121.889862 94.016124)
+        (xy 121.889862 94.01612)
+        (xy 121.890496 94.012354)
+        (xy 121.890647 94)
+        (xy 121.870323 93.858082)
+        (xy 121.810984 93.727572)
+        (xy 121.7174 93.618963)
+        (xy 121.597095 93.540985)
+        (xy 121.459739 93.499907)
+        (xy 121.376497 93.499398)
+        (xy 121.323427 93.499074)
+        (xy 121.323426 93.499074)
+        (xy 121.316376 93.499031)
+        (xy 121.309599 93.500968)
+        (xy 121.309598 93.500968)
+        (xy 121.185309 93.53649)
+        (xy 121.185307 93.536491)
+        (xy 121.178529 93.538428)
+        (xy 121.05728 93.61493)
+        (xy 121.052613 93.620214)
+        (xy 121.052611 93.620216)
+        (xy 120.967044 93.717103)
+        (xy 120.967042 93.717105)
+        (xy 120.962377 93.722388)
+        (xy 120.901447 93.852163)
+        (xy 120.900362 93.859132)
+        (xy 120.900361 93.859135)
+        (xy 120.885828 93.952482)
+        (xy 120.879391 93.993823)
+        (xy 117.092262 93.993823)
+        (xy 117.072823 93.858082)
+        (xy 117.013484 93.727572)
+        (xy 116.9199 93.618963)
+        (xy 116.799595 93.540985)
+        (xy 116.662239 93.499907)
+        (xy 116.578997 93.499398)
+        (xy 116.525927 93.499074)
+        (xy 116.525926 93.499074)
+        (xy 116.518876 93.499031)
+        (xy 116.512099 93.500968)
+        (xy 116.512098 93.500968)
+        (xy 116.387809 93.53649)
+        (xy 116.387807 93.536491)
+        (xy 116.381029 93.538428)
+        (xy 116.25978 93.61493)
+        (xy 116.255113 93.620214)
+        (xy 116.255111 93.620216)
+        (xy 116.169544 93.717103)
+        (xy 116.169542 93.717105)
+        (xy 116.164877 93.722388)
+        (xy 116.103947 93.852163)
+        (xy 116.102862 93.859132)
+        (xy 116.102861 93.859135)
+        (xy 116.088328 93.952482)
+        (xy 116.081891 93.993823)
+        (xy 116.082806 94.00082)
+        (xy 116.082806 94.000821)
+        (xy 116.10048 94.135979)
+        (xy 116.097699 94.136343)
+        (xy 116.09565 94.185262)
+        (xy 116.057646 94.233214)
+        (xy 116.002253 94.249754)
+        (xy 115.899603 94.249127)
+        (xy 115.841529 94.229865)
+        (xy 115.805868 94.180146)
+        (xy 115.80258 94.133704)
+        (xy 115.822362 94.016124)
+        (xy 115.822362 94.01612)
+        (xy 115.822996 94.012354)
+        (xy 115.823147 94)
+        (xy 115.802823 93.858082)
+        (xy 115.743484 93.727572)
+        (xy 115.6499 93.618963)
+        (xy 115.529595 93.540985)
+        (xy 115.392239 93.499907)
+        (xy 115.308997 93.499398)
+        (xy 115.255927 93.499074)
+        (xy 115.255926 93.499074)
+        (xy 115.248876 93.499031)
+        (xy 115.242099 93.500968)
+        (xy 115.242098 93.500968)
+        (xy 115.117809 93.53649)
+        (xy 115.117807 93.536491)
+        (xy 115.111029 93.538428)
+        (xy 114.98978 93.61493)
+        (xy 114.985113 93.620214)
+        (xy 114.985111 93.620216)
+        (xy 114.899544 93.717103)
+        (xy 114.899542 93.717105)
+        (xy 114.894877 93.722388)
+        (xy 114.833947 93.852163)
+        (xy 114.832862 93.859132)
+        (xy 114.832861 93.859135)
+        (xy 114.818328 93.952482)
+        (xy 114.811891 93.993823)
+        (xy 112.944762 93.993823)
+        (xy 112.925323 93.858082)
+        (xy 112.865984 93.727572)
+        (xy 112.7724 93.618963)
+        (xy 112.652095 93.540985)
+        (xy 112.514739 93.499907)
+        (xy 112.431497 93.499398)
+        (xy 112.378427 93.499074)
+        (xy 112.378426 93.499074)
+        (xy 112.371376 93.499031)
+        (xy 112.364599 93.500968)
+        (xy 112.364598 93.500968)
+        (xy 112.240309 93.53649)
+        (xy 112.240307 93.536491)
+        (xy 112.233529 93.538428)
+        (xy 112.11228 93.61493)
+        (xy 112.107613 93.620214)
+        (xy 112.107611 93.620216)
+        (xy 112.022044 93.717103)
+        (xy 112.022042 93.717105)
+        (xy 112.017377 93.722388)
+        (xy 111.956447 93.852163)
+        (xy 111.955362 93.859132)
+        (xy 111.955361 93.859135)
+        (xy 111.940828 93.952482)
+        (xy 111.934391 93.993823)
+        (xy 96.7 93.993823)
+        (xy 96.7 92.993823)
+        (xy 97.744391 92.993823)
+        (xy 97.745306 93.00082)
+        (xy 97.745306 93.000821)
+        (xy 97.760755 93.118963)
+        (xy 97.76298 93.135979)
+        (xy 97.765821 93.142435)
+        (xy 97.765821 93.142436)
+        (xy 97.773586 93.160082)
+        (xy 97.82072 93.267203)
+        (xy 97.866845 93.322076)
+        (xy 97.908431 93.371549)
+        (xy 97.908434 93.371551)
+        (xy 97.91297 93.376948)
+        (xy 97.918841 93.380856)
+        (xy 97.918842 93.380857)
+        (xy 97.931143 93.389045)
+        (xy 98.032313 93.45639)
+        (xy 98.129809 93.486849)
+        (xy 98.162425 93.497039)
+        (xy 98.162426 93.497039)
+        (xy 98.169157 93.499142)
+        (xy 98.240828 93.500456)
+        (xy 98.305445 93.501641)
+        (xy 98.305447 93.501641)
+        (xy 98.312499 93.50177)
+        (xy 98.319302 93.499915)
+        (xy 98.319304 93.499915)
+        (xy 98.394503 93.479413)
+        (xy 98.450817 93.46406)
+        (xy 98.572991 93.389045)
+        (xy 98.580403 93.380857)
+        (xy 98.664466 93.287984)
+        (xy 98.6692 93.282754)
+        (xy 98.670161 93.28077)
+        (xy 98.716624 93.244472)
+        (xy 98.777772 93.242338)
+        (xy 98.826265 93.2738)
+        (xy 98.838188 93.287984)
+        (xy 98.91297 93.376948)
+        (xy 98.918841 93.380856)
+        (xy 98.918842 93.380857)
+        (xy 98.931143 93.389045)
+        (xy 99.032313 93.45639)
+        (xy 99.129809 93.486849)
+        (xy 99.162425 93.497039)
+        (xy 99.162426 93.497039)
+        (xy 99.169157 93.499142)
+        (xy 99.240828 93.500456)
+        (xy 99.305445 93.501641)
+        (xy 99.305447 93.501641)
+        (xy 99.312499 93.50177)
+        (xy 99.319302 93.499915)
+        (xy 99.319304 93.499915)
+        (xy 99.394503 93.479413)
+        (xy 99.450817 93.46406)
+        (xy 99.572991 93.389045)
+        (xy 99.580403 93.380857)
+        (xy 99.664466 93.287984)
+        (xy 99.6692 93.282754)
+        (xy 99.670161 93.28077)
+        (xy 99.716624 93.244472)
+        (xy 99.777772 93.242338)
+        (xy 99.826265 93.2738)
+        (xy 99.838188 93.287984)
+        (xy 99.91297 93.376948)
+        (xy 99.918841 93.380856)
+        (xy 99.918842 93.380857)
+        (xy 99.931143 93.389045)
+        (xy 100.032313 93.45639)
+        (xy 100.129809 93.486849)
+        (xy 100.162425 93.497039)
+        (xy 100.162426 93.497039)
+        (xy 100.169157 93.499142)
+        (xy 100.240828 93.500456)
+        (xy 100.305445 93.501641)
+        (xy 100.305447 93.501641)
+        (xy 100.312499 93.50177)
+        (xy 100.319302 93.499915)
+        (xy 100.319304 93.499915)
+        (xy 100.394503 93.479413)
+        (xy 100.450817 93.46406)
+        (xy 100.572991 93.389045)
+        (xy 100.580403 93.380857)
+        (xy 100.664466 93.287984)
+        (xy 100.6692 93.282754)
+        (xy 100.670161 93.28077)
+        (xy 100.716624 93.244472)
+        (xy 100.777772 93.242338)
+        (xy 100.826265 93.2738)
+        (xy 100.838188 93.287984)
+        (xy 100.91297 93.376948)
+        (xy 100.918841 93.380856)
+        (xy 100.918842 93.380857)
+        (xy 100.931143 93.389045)
+        (xy 101.032313 93.45639)
+        (xy 101.129809 93.486849)
+        (xy 101.162425 93.497039)
+        (xy 101.162426 93.497039)
+        (xy 101.169157 93.499142)
+        (xy 101.240828 93.500456)
+        (xy 101.305445 93.501641)
+        (xy 101.305447 93.501641)
+        (xy 101.312499 93.50177)
+        (xy 101.319302 93.499915)
+        (xy 101.319304 93.499915)
+        (xy 101.394503 93.479413)
+        (xy 101.450817 93.46406)
+        (xy 101.572991 93.389045)
+        (xy 101.580403 93.380857)
+        (xy 101.664466 93.287984)
+        (xy 101.6692 93.282754)
+        (xy 101.670161 93.28077)
+        (xy 101.716624 93.244472)
+        (xy 101.777772 93.242338)
+        (xy 101.826265 93.2738)
+        (xy 101.838188 93.287984)
+        (xy 101.91297 93.376948)
+        (xy 101.918841 93.380856)
+        (xy 101.918842 93.380857)
+        (xy 101.931143 93.389045)
+        (xy 102.032313 93.45639)
+        (xy 102.129809 93.486849)
+        (xy 102.162425 93.497039)
+        (xy 102.162426 93.497039)
+        (xy 102.169157 93.499142)
+        (xy 102.240828 93.500456)
+        (xy 102.305445 93.501641)
+        (xy 102.305447 93.501641)
+        (xy 102.312499 93.50177)
+        (xy 102.319302 93.499915)
+        (xy 102.319304 93.499915)
+        (xy 102.394503 93.479413)
+        (xy 102.450817 93.46406)
+        (xy 102.572991 93.389045)
+        (xy 102.580403 93.380857)
+        (xy 102.664468 93.287982)
+        (xy 102.6692 93.282754)
+        (xy 102.73171 93.153733)
+        (xy 102.735875 93.128982)
+        (xy 102.754862 93.016124)
+        (xy 102.754862 93.01612)
+        (xy 102.755496 93.012354)
+        (xy 102.755647 93)
+        (xy 102.735323 92.858082)
+        (xy 102.675984 92.727572)
+        (xy 102.61236 92.653733)
+        (xy 102.587005 92.624307)
+        (xy 102.587004 92.624306)
+        (xy 102.5824 92.618963)
+        (xy 102.527328 92.583267)
+        (xy 102.488781 92.535751)
+        (xy 102.486547 92.493823)
+        (xy 108.244391 92.493823)
+        (xy 108.245306 92.50082)
+        (xy 108.245306 92.500821)
+        (xy 108.260253 92.615126)
+        (xy 108.26298 92.635979)
+        (xy 108.265821 92.642435)
+        (xy 108.265821 92.642436)
+        (xy 108.31634 92.757248)
+        (xy 108.32072 92.767203)
+        (xy 108.333792 92.782754)
+        (xy 108.408431 92.871549)
+        (xy 108.408434 92.871551)
+        (xy 108.41297 92.876948)
+        (xy 108.418841 92.880856)
+        (xy 108.418842 92.880857)
+        (xy 108.431143 92.889045)
+        (xy 108.532313 92.95639)
+        (xy 108.629809 92.986849)
+        (xy 108.662425 92.997039)
+        (xy 108.662426 92.997039)
+        (xy 108.669157 92.999142)
+        (xy 108.740828 93.000456)
+        (xy 108.805445 93.001641)
+        (xy 108.805447 93.001641)
+        (xy 108.812499 93.00177)
+        (xy 108.819302 92.999915)
+        (xy 108.819304 92.999915)
+        (xy 108.901252 92.977573)
+        (xy 108.950817 92.96406)
+        (xy 109.072991 92.889045)
+        (xy 109.080403 92.880857)
+        (xy 109.164468 92.787982)
+        (xy 109.1692 92.782754)
+        (xy 109.23171 92.653733)
+        (xy 109.235875 92.628982)
+        (xy 109.254862 92.516124)
+        (xy 109.254862 92.51612)
+        (xy 109.255496 92.512354)
+        (xy 109.255647 92.5)
+        (xy 109.235323 92.358082)
+        (xy 109.198189 92.276409)
+        (xy 109.178904 92.233994)
+        (xy 109.178904 92.233993)
+        (xy 109.175984 92.227572)
+        (xy 109.14375 92.190163)
+        (xy 109.120089 92.133738)
+        (xy 109.134112 92.074181)
+        (xy 109.14535 92.059104)
+        (xy 109.164468 92.037982)
+        (xy 109.1692 92.032754)
+        (xy 109.23171 91.903733)
+        (xy 109.235875 91.878982)
+        (xy 109.254862 91.766124)
+        (xy 109.254862 91.76612)
+        (xy 109.255496 91.762354)
+        (xy 109.255559 91.757248)
+        (xy 109.2556 91.753826)
+        (xy 109.255647 91.75)
+        (xy 109.235323 91.608082)
+        (xy 109.175984 91.477572)
+        (xy 109.092649 91.380857)
+        (xy 109.087005 91.374307)
+        (xy 109.087004 91.374306)
+        (xy 109.0824 91.368963)
+        (xy 108.962095 91.290985)
+        (xy 108.824739 91.249907)
+        (xy 108.741497 91.249398)
+        (xy 108.688427 91.249074)
+        (xy 108.688426 91.249074)
+        (xy 108.681376 91.249031)
+        (xy 108.674599 91.250968)
+        (xy 108.674598 91.250968)
+        (xy 108.550309 91.28649)
+        (xy 108.550307 91.286491)
+        (xy 108.543529 91.288428)
+        (xy 108.42228 91.36493)
+        (xy 108.417613 91.370214)
+        (xy 108.417611 91.370216)
+        (xy 108.332044 91.467103)
+        (xy 108.332042 91.467105)
+        (xy 108.327377 91.472388)
+        (xy 108.266447 91.602163)
+        (xy 108.265362 91.609132)
+        (xy 108.265361 91.609135)
+        (xy 108.263831 91.618963)
+        (xy 108.244391 91.743823)
+        (xy 108.245306 91.75082)
+        (xy 108.245306 91.750821)
+        (xy 108.246814 91.762354)
+        (xy 108.26298 91.885979)
+        (xy 108.265821 91.892435)
+        (xy 108.265821 91.892436)
+        (xy 108.313512 92.000821)
+        (xy 108.32072 92.017203)
+        (xy 108.325257 92.022601)
+        (xy 108.325258 92.022602)
+        (xy 108.357446 92.060895)
+        (xy 108.380415 92.117605)
+        (xy 108.365664 92.176986)
+        (xy 108.355866 92.19013)
+        (xy 108.332044 92.217103)
+        (xy 108.332042 92.217105)
+        (xy 108.327377 92.222388)
+        (xy 108.266447 92.352163)
+        (xy 108.265362 92.359132)
+        (xy 108.265361 92.359135)
+        (xy 108.256535 92.415826)
+        (xy 108.244391 92.493823)
+        (xy 102.486547 92.493823)
+        (xy 102.485526 92.474652)
+        (xy 102.518805 92.423309)
+        (xy 102.529374 92.415826)
+        (xy 102.566978 92.392737)
+        (xy 102.572991 92.389045)
+        (xy 102.580403 92.380857)
+        (xy 102.664468 92.287982)
+        (xy 102.6692 92.282754)
+        (xy 102.73171 92.153733)
+        (xy 102.735875 92.128982)
+        (xy 102.754862 92.016124)
+        (xy 102.754862 92.01612)
+        (xy 102.755496 92.012354)
+        (xy 102.755647 92)
+        (xy 102.735323 91.858082)
+        (xy 102.713543 91.81018)
+        (xy 102.678905 91.733996)
+        (xy 102.678904 91.733995)
+        (xy 102.675984 91.727572)
+        (xy 102.5824 91.618963)
+        (xy 102.527328 91.583267)
+        (xy 102.488781 91.535751)
+        (xy 102.485526 91.474652)
+        (xy 102.518805 91.423309)
+        (xy 102.529374 91.415826)
+        (xy 102.566978 91.392737)
+        (xy 102.572991 91.389045)
+        (xy 102.580403 91.380857)
+        (xy 102.664468 91.287982)
+        (xy 102.6692 91.282754)
+        (xy 102.73171 91.153733)
+        (xy 102.735875 91.128982)
+        (xy 102.754862 91.016124)
+        (xy 102.754862 91.01612)
+        (xy 102.755496 91.012354)
+        (xy 102.755647 91)
+        (xy 102.735323 90.858082)
+        (xy 102.675984 90.727572)
+        (xy 102.61236 90.653733)
+        (xy 102.587005 90.624307)
+        (xy 102.587004 90.624306)
+        (xy 102.5824 90.618963)
+        (xy 102.527328 90.583267)
+        (xy 102.488781 90.535751)
+        (xy 102.486547 90.493823)
+        (xy 108.244391 90.493823)
+        (xy 108.245306 90.50082)
+        (xy 108.245306 90.500821)
+        (xy 108.260253 90.615126)
+        (xy 108.26298 90.635979)
+        (xy 108.265821 90.642435)
+        (xy 108.265821 90.642436)
+        (xy 108.31634 90.757248)
+        (xy 108.32072 90.767203)
+        (xy 108.333792 90.782754)
+        (xy 108.408431 90.871549)
+        (xy 108.408434 90.871551)
+        (xy 108.41297 90.876948)
+        (xy 108.418841 90.880856)
+        (xy 108.418842 90.880857)
+        (xy 108.431143 90.889045)
+        (xy 108.532313 90.95639)
+        (xy 108.629809 90.986849)
+        (xy 108.662425 90.997039)
+        (xy 108.662426 90.997039)
+        (xy 108.669157 90.999142)
+        (xy 108.740828 91.000456)
+        (xy 108.805445 91.001641)
+        (xy 108.805447 91.001641)
+        (xy 108.812499 91.00177)
+        (xy 108.819302 90.999915)
+        (xy 108.819304 90.999915)
+        (xy 108.894503 90.979413)
+        (xy 108.950817 90.96406)
+        (xy 109.072991 90.889045)
+        (xy 109.080403 90.880857)
+        (xy 109.164468 90.787982)
+        (xy 109.1692 90.782754)
+        (xy 109.23171 90.653733)
+        (xy 109.235875 90.628982)
+        (xy 109.254862 90.516124)
+        (xy 109.254862 90.51612)
+        (xy 109.255496 90.512354)
+        (xy 109.255647 90.5)
+        (xy 109.235323 90.358082)
+        (xy 109.198189 90.276409)
+        (xy 109.178904 90.233994)
+        (xy 109.178904 90.233993)
+        (xy 109.175984 90.227572)
+        (xy 109.14375 90.190163)
+        (xy 109.120089 90.133738)
+        (xy 109.134112 90.074181)
+        (xy 109.14535 90.059104)
+        (xy 109.164468 90.037982)
+        (xy 109.1692 90.032754)
+        (xy 109.23171 89.903733)
+        (xy 109.235875 89.878982)
+        (xy 109.254862 89.766124)
+        (xy 109.254862 89.76612)
+        (xy 109.255496 89.762354)
+        (xy 109.255559 89.757248)
+        (xy 109.2556 89.753826)
+        (xy 109.255647 89.75)
+        (xy 109.235323 89.608082)
+        (xy 109.175984 89.477572)
+        (xy 109.092649 89.380857)
+        (xy 109.087005 89.374307)
+        (xy 109.087004 89.374306)
+        (xy 109.0824 89.368963)
+        (xy 108.962095 89.290985)
+        (xy 108.824739 89.249907)
+        (xy 108.741497 89.249398)
+        (xy 108.688427 89.249074)
+        (xy 108.688426 89.249074)
+        (xy 108.681376 89.249031)
+        (xy 108.674599 89.250968)
+        (xy 108.674598 89.250968)
+        (xy 108.550309 89.28649)
+        (xy 108.550307 89.286491)
+        (xy 108.543529 89.288428)
+        (xy 108.42228 89.36493)
+        (xy 108.417613 89.370214)
+        (xy 108.417611 89.370216)
+        (xy 108.332044 89.467103)
+        (xy 108.332042 89.467105)
+        (xy 108.327377 89.472388)
+        (xy 108.266447 89.602163)
+        (xy 108.265362 89.609132)
+        (xy 108.265361 89.609135)
+        (xy 108.263831 89.618963)
+        (xy 108.244391 89.743823)
+        (xy 108.245306 89.75082)
+        (xy 108.245306 89.750821)
+        (xy 108.246814 89.762354)
+        (xy 108.26298 89.885979)
+        (xy 108.265821 89.892435)
+        (xy 108.265821 89.892436)
+        (xy 108.313512 90.000821)
+        (xy 108.32072 90.017203)
+        (xy 108.325257 90.022601)
+        (xy 108.325258 90.022602)
+        (xy 108.357446 90.060895)
+        (xy 108.380415 90.117605)
+        (xy 108.365664 90.176986)
+        (xy 108.355866 90.19013)
+        (xy 108.332044 90.217103)
+        (xy 108.332042 90.217105)
+        (xy 108.327377 90.222388)
+        (xy 108.266447 90.352163)
+        (xy 108.265362 90.359132)
+        (xy 108.265361 90.359135)
+        (xy 108.256535 90.415826)
+        (xy 108.244391 90.493823)
+        (xy 102.486547 90.493823)
+        (xy 102.485526 90.474652)
+        (xy 102.518805 90.423309)
+        (xy 102.529374 90.415826)
+        (xy 102.566978 90.392737)
+        (xy 102.572991 90.389045)
+        (xy 102.580403 90.380857)
+        (xy 102.664468 90.287982)
+        (xy 102.6692 90.282754)
+        (xy 102.73171 90.153733)
+        (xy 102.735875 90.128982)
+        (xy 102.754862 90.016124)
+        (xy 102.754862 90.01612)
+        (xy 102.755496 90.012354)
+        (xy 102.755647 90)
+        (xy 102.735323 89.858082)
+        (xy 102.713543 89.81018)
+        (xy 102.678905 89.733996)
+        (xy 102.678904 89.733995)
+        (xy 102.675984 89.727572)
+        (xy 102.5824 89.618963)
+        (xy 102.527328 89.583267)
+        (xy 102.488781 89.535751)
+        (xy 102.485526 89.474652)
+        (xy 102.518805 89.423309)
+        (xy 102.529374 89.415826)
+        (xy 102.566978 89.392737)
+        (xy 102.572991 89.389045)
+        (xy 102.580403 89.380857)
+        (xy 102.664468 89.287982)
+        (xy 102.6692 89.282754)
+        (xy 102.73171 89.153733)
+        (xy 102.735875 89.128982)
+        (xy 102.754862 89.016124)
+        (xy 102.754862 89.01612)
+        (xy 102.755496 89.012354)
+        (xy 102.755647 89)
+        (xy 102.735323 88.858082)
+        (xy 102.675984 88.727572)
+        (xy 102.5824 88.618963)
+        (xy 102.462095 88.540985)
+        (xy 102.324739 88.499907)
+        (xy 102.241497 88.499398)
+        (xy 102.188427 88.499074)
+        (xy 102.188426 88.499074)
+        (xy 102.181376 88.499031)
+        (xy 102.174599 88.500968)
+        (xy 102.174598 88.500968)
+        (xy 102.050309 88.53649)
+        (xy 102.050307 88.536491)
+        (xy 102.043529 88.538428)
+        (xy 101.92228 88.61493)
+        (xy 101.917613 88.620214)
+        (xy 101.917611 88.620216)
+        (xy 101.827377 88.722388)
+        (xy 101.826179 88.72133)
+        (xy 101.784953 88.754362)
+        (xy 101.723835 88.757248)
+        (xy 101.674955 88.726378)
+        (xy 101.671378 88.722226)
+        (xy 101.5824 88.618963)
+        (xy 101.462095 88.540985)
+        (xy 101.324739 88.499907)
+        (xy 101.241497 88.499398)
+        (xy 101.188427 88.499074)
+        (xy 101.188426 88.499074)
+        (xy 101.181376 88.499031)
+        (xy 101.174599 88.500968)
+        (xy 101.174598 88.500968)
+        (xy 101.050309 88.53649)
+        (xy 101.050307 88.536491)
+        (xy 101.043529 88.538428)
+        (xy 100.92228 88.61493)
+        (xy 100.917613 88.620214)
+        (xy 100.917611 88.620216)
+        (xy 100.827377 88.722388)
+        (xy 100.826179 88.72133)
+        (xy 100.784953 88.754362)
+        (xy 100.723835 88.757248)
+        (xy 100.674955 88.726378)
+        (xy 100.671378 88.722226)
+        (xy 100.5824 88.618963)
+        (xy 100.462095 88.540985)
+        (xy 100.324739 88.499907)
+        (xy 100.241497 88.499398)
+        (xy 100.188427 88.499074)
+        (xy 100.188426 88.499074)
+        (xy 100.181376 88.499031)
+        (xy 100.174599 88.500968)
+        (xy 100.174598 88.500968)
+        (xy 100.050309 88.53649)
+        (xy 100.050307 88.536491)
+        (xy 100.043529 88.538428)
+        (xy 99.92228 88.61493)
+        (xy 99.917613 88.620214)
+        (xy 99.917611 88.620216)
+        (xy 99.827377 88.722388)
+        (xy 99.826179 88.72133)
+        (xy 99.784953 88.754362)
+        (xy 99.723835 88.757248)
+        (xy 99.674955 88.726378)
+        (xy 99.671378 88.722226)
+        (xy 99.5824 88.618963)
+        (xy 99.462095 88.540985)
+        (xy 99.324739 88.499907)
+        (xy 99.241497 88.499398)
+        (xy 99.188427 88.499074)
+        (xy 99.188426 88.499074)
+        (xy 99.181376 88.499031)
+        (xy 99.174599 88.500968)
+        (xy 99.174598 88.500968)
+        (xy 99.050309 88.53649)
+        (xy 99.050307 88.536491)
+        (xy 99.043529 88.538428)
+        (xy 98.92228 88.61493)
+        (xy 98.917613 88.620214)
+        (xy 98.917611 88.620216)
+        (xy 98.827377 88.722388)
+        (xy 98.826179 88.72133)
+        (xy 98.784953 88.754362)
+        (xy 98.723835 88.757248)
+        (xy 98.674955 88.726378)
+        (xy 98.671378 88.722226)
+        (xy 98.5824 88.618963)
+        (xy 98.462095 88.540985)
+        (xy 98.324739 88.499907)
+        (xy 98.241497 88.499398)
+        (xy 98.188427 88.499074)
+        (xy 98.188426 88.499074)
+        (xy 98.181376 88.499031)
+        (xy 98.174599 88.500968)
+        (xy 98.174598 88.500968)
+        (xy 98.050309 88.53649)
+        (xy 98.050307 88.536491)
+        (xy 98.043529 88.538428)
+        (xy 97.92228 88.61493)
+        (xy 97.917613 88.620214)
+        (xy 97.917611 88.620216)
+        (xy 97.832044 88.717103)
+        (xy 97.832042 88.717105)
+        (xy 97.827377 88.722388)
+        (xy 97.766447 88.852163)
+        (xy 97.744391 88.993823)
+        (xy 97.745306 89.00082)
+        (xy 97.745306 89.000821)
+        (xy 97.746814 89.012354)
+        (xy 97.76298 89.135979)
+        (xy 97.765821 89.142435)
+        (xy 97.765821 89.142436)
+        (xy 97.81311 89.249907)
+        (xy 97.82072 89.267203)
+        (xy 97.839012 89.288964)
+        (xy 97.908431 89.371549)
+        (xy 97.908434 89.371551)
+        (xy 97.91297 89.376948)
+        (xy 97.918841 89.380856)
+        (xy 97.918842 89.380857)
+        (xy 97.973897 89.417505)
+        (xy 98.01186 89.465489)
+        (xy 98.014369 89.526623)
+        (xy 97.980464 89.577556)
+        (xy 97.971867 89.583643)
+        (xy 97.92228 89.61493)
+        (xy 97.917613 89.620214)
+        (xy 97.917611 89.620216)
+        (xy 97.832044 89.717103)
+        (xy 97.832042 89.717105)
+        (xy 97.827377 89.722388)
+        (xy 97.824381 89.72877)
+        (xy 97.82438 89.728771)
+        (xy 97.812617 89.753826)
+        (xy 97.766447 89.852163)
+        (xy 97.765362 89.859132)
+        (xy 97.765361 89.859135)
+        (xy 97.757429 89.910082)
+        (xy 97.744391 89.993823)
+        (xy 97.745306 90.00082)
+        (xy 97.745306 90.000821)
+        (xy 97.746604 90.010747)
+        (xy 97.76298 90.135979)
+        (xy 97.765821 90.142435)
+        (xy 97.765821 90.142436)
+        (xy 97.806108 90.233994)
+        (xy 97.82072 90.267203)
+        (xy 97.866845 90.322076)
+        (xy 97.908431 90.371549)
+        (xy 97.908434 90.371551)
+        (xy 97.91297 90.376948)
+        (xy 97.918841 90.380856)
+        (xy 97.918842 90.380857)
+        (xy 97.973897 90.417505)
+        (xy 98.01186 90.465489)
+        (xy 98.014369 90.526623)
+        (xy 97.980464 90.577556)
+        (xy 97.971867 90.583643)
+        (xy 97.92228 90.61493)
+        (xy 97.917613 90.620214)
+        (xy 97.917611 90.620216)
+        (xy 97.832044 90.717103)
+        (xy 97.832042 90.717105)
+        (xy 97.827377 90.722388)
+        (xy 97.766447 90.852163)
+        (xy 97.765362 90.859132)
+        (xy 97.765361 90.859135)
+        (xy 97.750828 90.952482)
+        (xy 97.744391 90.993823)
+        (xy 97.745306 91.00082)
+        (xy 97.745306 91.000821)
+        (xy 97.746814 91.012354)
+        (xy 97.76298 91.135979)
+        (xy 97.765821 91.142435)
+        (xy 97.765821 91.142436)
+        (xy 97.81311 91.249907)
+        (xy 97.82072 91.267203)
+        (xy 97.839012 91.288964)
+        (xy 97.908431 91.371549)
+        (xy 97.908434 91.371551)
+        (xy 97.91297 91.376948)
+        (xy 97.918841 91.380856)
+        (xy 97.918842 91.380857)
+        (xy 97.973897 91.417505)
+        (xy 98.01186 91.465489)
+        (xy 98.014369 91.526623)
+        (xy 97.980464 91.577556)
+        (xy 97.971867 91.583643)
+        (xy 97.92228 91.61493)
+        (xy 97.917613 91.620214)
+        (xy 97.917611 91.620216)
+        (xy 97.832044 91.717103)
+        (xy 97.832042 91.717105)
+        (xy 97.827377 91.722388)
+        (xy 97.824381 91.72877)
+        (xy 97.82438 91.728771)
+        (xy 97.812617 91.753826)
+        (xy 97.766447 91.852163)
+        (xy 97.765362 91.859132)
+        (xy 97.765361 91.859135)
+        (xy 97.757429 91.910082)
+        (xy 97.744391 91.993823)
+        (xy 97.745306 92.00082)
+        (xy 97.745306 92.000821)
+        (xy 97.746604 92.010747)
+        (xy 97.76298 92.135979)
+        (xy 97.765821 92.142435)
+        (xy 97.765821 92.142436)
+        (xy 97.81102 92.245157)
+        (xy 97.82072 92.267203)
+        (xy 97.866845 92.322076)
+        (xy 97.908431 92.371549)
+        (xy 97.908434 92.371551)
+        (xy 97.91297 92.376948)
+        (xy 97.918841 92.380856)
+        (xy 97.918842 92.380857)
+        (xy 97.973897 92.417505)
+        (xy 98.01186 92.465489)
+        (xy 98.014369 92.526623)
+        (xy 97.980464 92.577556)
+        (xy 97.971867 92.583643)
+        (xy 97.92228 92.61493)
+        (xy 97.917613 92.620214)
+        (xy 97.917611 92.620216)
+        (xy 97.832044 92.717103)
+        (xy 97.832042 92.717105)
+        (xy 97.827377 92.722388)
+        (xy 97.766447 92.852163)
+        (xy 97.765362 92.859132)
+        (xy 97.765361 92.859135)
+        (xy 97.755981 92.919382)
+        (xy 97.744391 92.993823)
+        (xy 96.7 92.993823)
+        (xy 96.7 88.53396)
+        (xy 96.702518 88.511773)
+        (xy 96.705143 88.500358)
+        (xy 96.702682 88.489484)
+        (xy 96.702702 88.478335)
+        (xy 96.703716 88.478337)
+        (xy 96.703105 88.468476)
+        (xy 96.714416 88.353632)
+        (xy 96.718202 88.334598)
+        (xy 96.758066 88.203183)
+        (xy 96.765493 88.185252)
+        (xy 96.796078 88.128033)
+        (xy 96.830228 88.064143)
+        (xy 96.841008 88.048009)
+        (xy 96.918546 87.953527)
+        (xy 96.928131 87.941848)
+        (xy 96.941848 87.928131)
+        (xy 97.048009 87.841008)
+        (xy 97.064144 87.830227)
+        (xy 97.134771 87.792476)
+        (xy 97.185255 87.765492)
+        (xy 97.203183 87.758066)
+        (xy 97.26889 87.738134)
+        (xy 97.3346 87.718202)
+        (xy 97.35363 87.714416)
+        (xy 97.468499 87.703103)
+        (xy 97.478371 87.702626)
+        (xy 97.488775 87.702644)
+        (xy 97.499642 87.705143)
+        (xy 97.511583 87.702441)
+        (xy 97.533432 87.7)
+        (xy 103.965778 87.7)
+        (xy 103.98813 87.702557)
+        (xy 103.999284 87.705142)
+        (xy 104 87.705143)
+        (xy 104.005443 87.703902)
+        (xy 104.01084 87.703298)
+        (xy 104.016787 87.70239)
+        (xy 104.0455 87.70013)
+        (xy 104.184432 87.689196)
+        (xy 104.184437 87.689195)
+        (xy 104.188302 87.688891)
+        (xy 104.371967 87.644797)
+        (xy 104.449938 87.612501)
+        (xy 104.542877 87.574005)
+        (xy 104.542882 87.574002)
+        (xy 104.546473 87.572515)
+        (xy 104.549788 87.570483)
+        (xy 104.549793 87.570481)
+        (xy 104.626999 87.523169)
+        (xy 104.707524 87.473823)
+        (xy 104.851153 87.351153)
+        (xy 104.973823 87.207524)
+        (xy 105.0232 87.126948)
+        (xy 105.070481 87.049793)
+        (xy 105.070483 87.049788)
+        (xy 105.072515 87.046473)
+        (xy 105.074002 87.042882)
+        (xy 105.074005 87.042877)
+        (xy 105.101026 86.977641)
+        (xy 105.140762 86.931115)
+        (xy 105.200257 86.916831)
+        (xy 105.247348 86.933115)
+        (xy 105.282313 86.95639)
+        (xy 105.350335 86.977641)
+        (xy 105.412425 86.997039)
+        (xy 105.412426 86.997039)
+        (xy 105.419157 86.999142)
+        (xy 105.490828 87.000456)
+        (xy 105.555445 87.001641)
+        (xy 105.555447 87.001641)
+        (xy 105.562499 87.00177)
+        (xy 105.569302 86.999915)
+        (xy 105.569304 86.999915)
+        (xy 105.651003 86.977641)
+        (xy 105.700817 86.96406)
+        (xy 105.822991 86.889045)
+        (xy 105.9192 86.782754)
+        (xy 105.98171 86.653733)
+        (xy 105.989391 86.608082)
+        (xy 106.004862 86.516124)
+        (xy 106.004862 86.51612)
+        (xy 106.005496 86.512354)
+        (xy 106.005647 86.5)
+        (xy 105.985323 86.358082)
+        (xy 105.925984 86.227572)
+        (xy 105.847062 86.135979)
+        (xy 105.837005 86.124307)
+        (xy 105.837004 86.124306)
+        (xy 105.8324 86.118963)
+        (xy 105.712095 86.040985)
+        (xy 105.705337 86.038964)
+        (xy 105.705335 86.038963)
+        (xy 105.594652 86.005862)
+        (xy 105.544318 85.971074)
+        (xy 105.524045 85.913345)
+        (xy 105.541577 85.854725)
+        (xy 105.57635 85.823703)
+        (xy 105.685253 85.765493)
+        (xy 105.703177 85.758068)
+        (xy 105.715722 85.754262)
+        (xy 105.744457 85.75)
+        (xy 109.245139 85.75)
+      )
+    )
+  )
+  (zone (net 1) (net_name "+3V3") (layer "In2.Cu") (tstamp f1b62222-aa6d-484b-8637-94af994d3333) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 134 108)
+        (xy 127.5 108)
+        (xy 127.5 94.5)
+        (xy 126.5 93.5)
+        (xy 126.5 88.75)
+        (xy 111.75 88.75)
+        (xy 111.75 86.5)
+        (xy 111.5 86.25)
+        (xy 111.5 85.25)
+        (xy 110.75 84.5)
+        (xy 110.75 75.5)
+        (xy 134 75.5)
+      )
+    )
+    (filled_polygon
+      (layer "In2.Cu")
+      (pts
+        (xy 132.988227 75.702518)
+        (xy 132.999642 75.705143)
+        (xy 133.010516 75.702682)
+        (xy 133.021665 75.702702)
+        (xy 133.021663 75.703716)
+        (xy 133.031524 75.703105)
+        (xy 133.087538 75.708622)
+        (xy 133.14637 75.714416)
+        (xy 133.1654 75.718202)
+        (xy 133.23111 75.738134)
+        (xy 133.296817 75.758066)
+        (xy 133.314745 75.765492)
+        (xy 133.365229 75.792476)
+        (xy 133.435856 75.830227)
+        (xy 133.451991 75.841008)
+        (xy 133.558152 75.928131)
+        (xy 133.571869 75.941848)
+        (xy 133.581454 75.953527)
+        (xy 133.658992 76.048009)
+        (xy 133.669772 76.064143)
+        (xy 133.703923 76.128033)
+        (xy 133.734507 76.185252)
+        (xy 133.741934 76.203183)
+        (xy 133.781798 76.334598)
+        (xy 133.785584 76.353632)
+        (xy 133.796897 76.468493)
+        (xy 133.797374 76.478371)
+        (xy 133.797356 76.488775)
+        (xy 133.794857 76.499642)
+        (xy 133.797559 76.511583)
+        (xy 133.8 76.533432)
+        (xy 133.8 106.96604)
+        (xy 133.797482 106.988227)
+        (xy 133.794857 106.999642)
+        (xy 133.797318 107.010516)
+        (xy 133.797298 107.021665)
+        (xy 133.796284 107.021663)
+        (xy 133.796895 107.031524)
+        (xy 133.785584 107.146368)
+        (xy 133.781798 107.1654)
+        (xy 133.767038 107.21406)
+        (xy 133.741934 107.296817)
+        (xy 133.734508 107.314745)
+        (xy 133.693598 107.391283)
+        (xy 133.669773 107.435856)
+        (xy 133.658992 107.451991)
+        (xy 133.571869 107.558152)
+        (xy 133.558152 107.571869)
+        (xy 133.477053 107.638425)
+        (xy 133.451991 107.658992)
+        (xy 133.435857 107.669772)
+        (xy 133.314745 107.734508)
+        (xy 133.296817 107.741934)
+        (xy 133.23111 107.761866)
+        (xy 133.1654 107.781798)
+        (xy 133.14637 107.785584)
+        (xy 133.031501 107.796897)
+        (xy 133.021629 107.797374)
+        (xy 133.011225 107.797356)
+        (xy 133.000358 107.794857)
+        (xy 132.988417 107.797559)
+        (xy 132.966568 107.8)
+        (xy 127.941507 107.8)
+        (xy 127.878703 107.777529)
+        (xy 127.82628 107.734507)
+        (xy 127.800427 107.71329)
+        (xy 127.78671 107.699573)
+        (xy 127.674715 107.563106)
+        (xy 127.663933 107.546969)
+        (xy 127.580717 107.391283)
+        (xy 127.57329 107.373353)
+        (xy 127.522045 107.20442)
+        (xy 127.518259 107.185386)
+        (xy 127.500477 107.004843)
+        (xy 127.5 106.995139)
+        (xy 127.5 103.785262)
+        (xy 128.17452 103.785262)
+        (xy 128.191759 103.990553)
+        (xy 128.248544 104.188586)
+        (xy 128.342712 104.371818)
+        (xy 128.470677 104.53327)
+        (xy 128.474357 104.536402)
+        (xy 128.474359 104.536404)
+        (xy 128.587017 104.632283)
+        (xy 128.627564 104.666791)
+        (xy 128.631787 104.669151)
+        (xy 128.631791 104.669154)
+        (xy 128.671342 104.691258)
+        (xy 128.807398 104.767297)
+        (xy 128.811996 104.768791)
+        (xy 128.998724 104.829463)
+        (xy 128.998726 104.829464)
+        (xy 129.003329 104.830959)
+        (xy 129.207894 104.855351)
+        (xy 129.212716 104.85498)
+        (xy 129.212719 104.85498)
+        (xy 129.283259 104.849552)
+        (xy 129.4133 104.839546)
+        (xy 129.611725 104.784145)
+        (xy 129.616038 104.781966)
+        (xy 129.616044 104.781964)
+        (xy 129.791289 104.693441)
+        (xy 129.791291 104.69344)
+        (xy 129.79561 104.691258)
+        (xy 129.823142 104.669748)
+        (xy 130.7195 104.669748)
+        (xy 130.731133 104.728231)
+        (xy 130.775448 104.794552)
+        (xy 130.841769 104.838867)
+        (xy 130.851332 104.840769)
+        (xy 130.851334 104.84077)
+        (xy 130.874005 104.845279)
+        (xy 130.900252 104.8505)
+        (xy 132.639748 104.8505)
+        (xy 132.665995 104.845279)
+        (xy 132.688666 104.84077)
+        (xy 132.688668 104.840769)
+        (xy 132.698231 104.838867)
+        (xy 132.764552 104.794552)
+        (xy 132.808867 104.728231)
+        (xy 132.8205 104.669748)
+        (xy 132.8205 102.930252)
+        (xy 132.808867 102.871769)
+        (xy 132.764552 102.805448)
+        (xy 132.698231 102.761133)
+        (xy 132.688668 102.759231)
+        (xy 132.688666 102.75923)
+        (xy 132.665995 102.754721)
+        (xy 132.639748 102.7495)
+        (xy 130.900252 102.7495)
+        (xy 130.874005 102.754721)
+        (xy 130.851334 102.75923)
+        (xy 130.851332 102.759231)
+        (xy 130.841769 102.761133)
+        (xy 130.775448 102.805448)
+        (xy 130.731133 102.871769)
+        (xy 130.7195 102.930252)
+        (xy 130.7195 104.669748)
+        (xy 129.823142 104.669748)
+        (xy 129.830943 104.663653)
+        (xy 129.954135 104.567406)
+        (xy 129.954139 104.567402)
+        (xy 129.957951 104.564424)
+        (xy 130.092564 104.408472)
+        (xy 130.111231 104.375613)
+        (xy 130.191934 104.23355)
+        (xy 130.191935 104.233547)
+        (xy 130.194323 104.229344)
+        (xy 130.207882 104.188586)
+        (xy 130.257824 104.038454)
+        (xy 130.257824 104.038452)
+        (xy 130.259351 104.033863)
+        (xy 130.285171 103.829474)
+        (xy 130.285583 103.8)
+        (xy 130.271636 103.657754)
+        (xy 130.265952 103.59978)
+        (xy 130.265951 103.599776)
+        (xy 130.26548 103.59497)
+        (xy 130.240122 103.510979)
+        (xy 130.207333 103.40238)
+        (xy 130.205935 103.397749)
+        (xy 130.109218 103.215849)
+        (xy 129.979011 103.0562)
+        (xy 129.96279 103.042781)
+        (xy 129.824002 102.927965)
+        (xy 129.824 102.927964)
+        (xy 129.820275 102.924882)
+        (xy 129.639055 102.826897)
+        (xy 129.569764 102.805448)
+        (xy 129.446875 102.767407)
+        (xy 129.446871 102.767406)
+        (xy 129.442254 102.765977)
+        (xy 129.437446 102.765472)
+        (xy 129.437443 102.765471)
+        (xy 129.242185 102.744949)
+        (xy 129.242183 102.744949)
+        (xy 129.237369 102.744443)
+        (xy 129.1818 102.7495)
+        (xy 129.037022 102.762675)
+        (xy 129.037017 102.762676)
+        (xy 129.032203 102.763114)
+        (xy 128.834572 102.82128)
+        (xy 128.830288 102.823519)
+        (xy 128.830287 102.82352)
+        (xy 128.819428 102.829197)
+        (xy 128.652002 102.916726)
+        (xy 128.648231 102.919758)
+        (xy 128.49522 103.042781)
+        (xy 128.495217 103.042783)
+        (xy 128.491447 103.045815)
+        (xy 128.488333 103.049526)
+        (xy 128.488332 103.049527)
+        (xy 128.479585 103.059952)
+        (xy 128.359024 103.20363)
+        (xy 128.356689 103.207878)
+        (xy 128.356688 103.207879)
+        (xy 128.349955 103.220126)
+        (xy 128.259776 103.384162)
+        (xy 128.258313 103.388775)
+        (xy 128.258311 103.388779)
+        (xy 128.204413 103.558689)
+        (xy 128.197484 103.580532)
+        (xy 128.196944 103.585344)
+        (xy 128.196944 103.585345)
+        (xy 128.178257 103.751948)
+        (xy 128.17452 103.785262)
+        (xy 127.5 103.785262)
+        (xy 127.5 101.245262)
+        (xy 128.17452 101.245262)
+        (xy 128.191759 101.450553)
+        (xy 128.248544 101.648586)
+        (xy 128.342712 101.831818)
+        (xy 128.470677 101.99327)
+        (xy 128.474357 101.996402)
+        (xy 128.474359 101.996404)
+        (xy 128.587017 102.092283)
+        (xy 128.627564 102.126791)
+        (xy 128.631787 102.129151)
+        (xy 128.631791 102.129154)
+        (xy 128.671342 102.151258)
+        (xy 128.807398 102.227297)
+        (xy 128.811996 102.228791)
+        (xy 128.998724 102.289463)
+        (xy 128.998726 102.289464)
+        (xy 129.003329 102.290959)
+        (xy 129.207894 102.315351)
+        (xy 129.212716 102.31498)
+        (xy 129.212719 102.31498)
+        (xy 129.280541 102.309761)
+        (xy 129.4133 102.299546)
+        (xy 129.611725 102.244145)
+        (xy 129.616038 102.241966)
+        (xy 129.616044 102.241964)
+        (xy 129.791289 102.153441)
+        (xy 129.791291 102.15344)
+        (xy 129.79561 102.151258)
+        (xy 129.830943 102.123653)
+        (xy 129.954135 102.027406)
+        (xy 129.954139 102.027402)
+        (xy 129.957951 102.024424)
+        (xy 130.092564 101.868472)
+        (xy 130.111231 101.835613)
+        (xy 130.191934 101.69355)
+        (xy 130.191935 101.693547)
+        (xy 130.194323 101.689344)
+        (xy 130.207882 101.648586)
+        (xy 130.257824 101.498454)
+        (xy 130.257824 101.498452)
+        (xy 130.259351 101.493863)
+        (xy 130.285171 101.289474)
+        (xy 130.285583 101.26)
+        (xy 130.284138 101.245262)
+        (xy 130.71452 101.245262)
+        (xy 130.731759 101.450553)
+        (xy 130.788544 101.648586)
+        (xy 130.882712 101.831818)
+        (xy 131.010677 101.99327)
+        (xy 131.014357 101.996402)
+        (xy 131.014359 101.996404)
+        (xy 131.127017 102.092283)
+        (xy 131.167564 102.126791)
+        (xy 131.171787 102.129151)
+        (xy 131.171791 102.129154)
+        (xy 131.211342 102.151258)
+        (xy 131.347398 102.227297)
+        (xy 131.351996 102.228791)
+        (xy 131.538724 102.289463)
+        (xy 131.538726 102.289464)
+        (xy 131.543329 102.290959)
+        (xy 131.747894 102.315351)
+        (xy 131.752716 102.31498)
+        (xy 131.752719 102.31498)
+        (xy 131.820541 102.309761)
+        (xy 131.9533 102.299546)
+        (xy 132.151725 102.244145)
+        (xy 132.156038 102.241966)
+        (xy 132.156044 102.241964)
+        (xy 132.331289 102.153441)
+        (xy 132.331291 102.15344)
+        (xy 132.33561 102.151258)
+        (xy 132.370943 102.123653)
+        (xy 132.494135 102.027406)
+        (xy 132.494139 102.027402)
+        (xy 132.497951 102.024424)
+        (xy 132.632564 101.868472)
+        (xy 132.651231 101.835613)
+        (xy 132.731934 101.69355)
+        (xy 132.731935 101.693547)
+        (xy 132.734323 101.689344)
+        (xy 132.747882 101.648586)
+        (xy 132.797824 101.498454)
+        (xy 132.797824 101.498452)
+        (xy 132.799351 101.493863)
+        (xy 132.825171 101.289474)
+        (xy 132.825583 101.26)
+        (xy 132.80548 101.05497)
+        (xy 132.745935 100.857749)
+        (xy 132.649218 100.675849)
+        (xy 132.519011 100.5162)
+        (xy 132.360275 100.384882)
+        (xy 132.179055 100.286897)
+        (xy 132.115855 100.267333)
+        (xy 131.986875 100.227407)
+        (xy 131.986871 100.227406)
+        (xy 131.982254 100.225977)
+        (xy 131.977446 100.225472)
+        (xy 131.977443 100.225471)
+        (xy 131.782185 100.204949)
+        (xy 131.782183 100.204949)
+        (xy 131.777369 100.204443)
+        (xy 131.717354 100.209905)
+        (xy 131.577022 100.222675)
+        (xy 131.577017 100.222676)
+        (xy 131.572203 100.223114)
+        (xy 131.374572 100.28128)
+        (xy 131.370288 100.283519)
+        (xy 131.370287 100.28352)
+        (xy 131.359428 100.289197)
+        (xy 131.192002 100.376726)
+        (xy 131.188231 100.379758)
+        (xy 131.03522 100.502781)
+        (xy 131.035217 100.502783)
+        (xy 131.031447 100.505815)
+        (xy 131.028333 100.509526)
+        (xy 131.028332 100.509527)
+        (xy 131.019585 100.519952)
+        (xy 130.899024 100.66363)
+        (xy 130.896689 100.667878)
+        (xy 130.896688 100.667879)
+        (xy 130.889955 100.680126)
+        (xy 130.799776 100.844162)
+        (xy 130.737484 101.040532)
+        (xy 130.736944 101.045344)
+        (xy 130.736944 101.045345)
+        (xy 130.735865 101.05497)
+        (xy 130.71452 101.245262)
+        (xy 130.284138 101.245262)
+        (xy 130.26548 101.05497)
+        (xy 130.205935 100.857749)
+        (xy 130.109218 100.675849)
+        (xy 129.979011 100.5162)
+        (xy 129.820275 100.384882)
+        (xy 129.639055 100.286897)
+        (xy 129.575855 100.267333)
+        (xy 129.446875 100.227407)
+        (xy 129.446871 100.227406)
+        (xy 129.442254 100.225977)
+        (xy 129.437446 100.225472)
+        (xy 129.437443 100.225471)
+        (xy 129.242185 100.204949)
+        (xy 129.242183 100.204949)
+        (xy 129.237369 100.204443)
+        (xy 129.177354 100.209905)
+        (xy 129.037022 100.222675)
+        (xy 129.037017 100.222676)
+        (xy 129.032203 100.223114)
+        (xy 128.834572 100.28128)
+        (xy 128.830288 100.283519)
+        (xy 128.830287 100.28352)
+        (xy 128.819428 100.289197)
+        (xy 128.652002 100.376726)
+        (xy 128.648231 100.379758)
+        (xy 128.49522 100.502781)
+        (xy 128.495217 100.502783)
+        (xy 128.491447 100.505815)
+        (xy 128.488333 100.509526)
+        (xy 128.488332 100.509527)
+        (xy 128.479585 100.519952)
+        (xy 128.359024 100.66363)
+        (xy 128.356689 100.667878)
+        (xy 128.356688 100.667879)
+        (xy 128.349955 100.680126)
+        (xy 128.259776 100.844162)
+        (xy 128.197484 101.040532)
+        (xy 128.196944 101.045344)
+        (xy 128.196944 101.045345)
+        (xy 128.195865 101.05497)
+        (xy 128.17452 101.245262)
+        (xy 127.5 101.245262)
+        (xy 127.5 98.705262)
+        (xy 128.17452 98.705262)
+        (xy 128.191759 98.910553)
+        (xy 128.248544 99.108586)
+        (xy 128.342712 99.291818)
+        (xy 128.470677 99.45327)
+        (xy 128.474357 99.456402)
+        (xy 128.474359 99.456404)
+        (xy 128.587017 99.552283)
+        (xy 128.627564 99.586791)
+        (xy 128.631787 99.589151)
+        (xy 128.631791 99.589154)
+        (xy 128.671342 99.611258)
+        (xy 128.807398 99.687297)
+        (xy 128.811996 99.688791)
+        (xy 128.998724 99.749463)
+        (xy 128.998726 99.749464)
+        (xy 129.003329 99.750959)
+        (xy 129.207894 99.775351)
+        (xy 129.212716 99.77498)
+        (xy 129.212719 99.77498)
+        (xy 129.280541 99.769761)
+        (xy 129.4133 99.759546)
+        (xy 129.611725 99.704145)
+        (xy 129.616038 99.701966)
+        (xy 129.616044 99.701964)
+        (xy 129.791289 99.613441)
+        (xy 129.791291 99.61344)
+        (xy 129.79561 99.611258)
+        (xy 129.830943 99.583653)
+        (xy 129.954135 99.487406)
+        (xy 129.954139 99.487402)
+        (xy 129.957951 99.484424)
+        (xy 130.092564 99.328472)
+        (xy 130.111231 99.295613)
+        (xy 130.191934 99.15355)
+        (xy 130.191935 99.153547)
+        (xy 130.194323 99.149344)
+        (xy 130.207882 99.108586)
+        (xy 130.257824 98.958454)
+        (xy 130.257824 98.958452)
+        (xy 130.259351 98.953863)
+        (xy 130.285171 98.749474)
+        (xy 130.285583 98.72)
+        (xy 130.284138 98.705262)
+        (xy 130.71452 98.705262)
+        (xy 130.731759 98.910553)
+        (xy 130.788544 99.108586)
+        (xy 130.882712 99.291818)
+        (xy 131.010677 99.45327)
+        (xy 131.014357 99.456402)
+        (xy 131.014359 99.456404)
+        (xy 131.127017 99.552283)
+        (xy 131.167564 99.586791)
+        (xy 131.171787 99.589151)
+        (xy 131.171791 99.589154)
+        (xy 131.211342 99.611258)
+        (xy 131.347398 99.687297)
+        (xy 131.351996 99.688791)
+        (xy 131.538724 99.749463)
+        (xy 131.538726 99.749464)
+        (xy 131.543329 99.750959)
+        (xy 131.747894 99.775351)
+        (xy 131.752716 99.77498)
+        (xy 131.752719 99.77498)
+        (xy 131.820541 99.769761)
+        (xy 131.9533 99.759546)
+        (xy 132.151725 99.704145)
+        (xy 132.156038 99.701966)
+        (xy 132.156044 99.701964)
+        (xy 132.331289 99.613441)
+        (xy 132.331291 99.61344)
+        (xy 132.33561 99.611258)
+        (xy 132.370943 99.583653)
+        (xy 132.494135 99.487406)
+        (xy 132.494139 99.487402)
+        (xy 132.497951 99.484424)
+        (xy 132.632564 99.328472)
+        (xy 132.651231 99.295613)
+        (xy 132.731934 99.15355)
+        (xy 132.731935 99.153547)
+        (xy 132.734323 99.149344)
+        (xy 132.747882 99.108586)
+        (xy 132.797824 98.958454)
+        (xy 132.797824 98.958452)
+        (xy 132.799351 98.953863)
+        (xy 132.825171 98.749474)
+        (xy 132.825583 98.72)
+        (xy 132.80548 98.51497)
+        (xy 132.745935 98.317749)
+        (xy 132.649218 98.135849)
+        (xy 132.519011 97.9762)
+        (xy 132.360275 97.844882)
+        (xy 132.179055 97.746897)
+        (xy 132.115855 97.727333)
+        (xy 131.986875 97.687407)
+        (xy 131.986871 97.687406)
+        (xy 131.982254 97.685977)
+        (xy 131.977446 97.685472)
+        (xy 131.977443 97.685471)
+        (xy 131.782185 97.664949)
+        (xy 131.782183 97.664949)
+        (xy 131.777369 97.664443)
+        (xy 131.717354 97.669905)
+        (xy 131.577022 97.682675)
+        (xy 131.577017 97.682676)
+        (xy 131.572203 97.683114)
+        (xy 131.374572 97.74128)
+        (xy 131.370288 97.743519)
+        (xy 131.370287 97.74352)
+        (xy 131.359428 97.749197)
+        (xy 131.192002 97.836726)
+        (xy 131.188231 97.839758)
+        (xy 131.03522 97.962781)
+        (xy 131.035217 97.962783)
+        (xy 131.031447 97.965815)
+        (xy 131.028333 97.969526)
+        (xy 131.028332 97.969527)
+        (xy 131.019585 97.979952)
+        (xy 130.899024 98.12363)
+        (xy 130.896689 98.127878)
+        (xy 130.896688 98.127879)
+        (xy 130.889955 98.140126)
+        (xy 130.799776 98.304162)
+        (xy 130.737484 98.500532)
+        (xy 130.736944 98.505344)
+        (xy 130.736944 98.505345)
+        (xy 130.735865 98.51497)
+        (xy 130.71452 98.705262)
+        (xy 130.284138 98.705262)
+        (xy 130.26548 98.51497)
+        (xy 130.205935 98.317749)
+        (xy 130.109218 98.135849)
+        (xy 129.979011 97.9762)
+        (xy 129.820275 97.844882)
+        (xy 129.639055 97.746897)
+        (xy 129.575855 97.727333)
+        (xy 129.446875 97.687407)
+        (xy 129.446871 97.687406)
+        (xy 129.442254 97.685977)
+        (xy 129.437446 97.685472)
+        (xy 129.437443 97.685471)
+        (xy 129.242185 97.664949)
+        (xy 129.242183 97.664949)
+        (xy 129.237369 97.664443)
+        (xy 129.177354 97.669905)
+        (xy 129.037022 97.682675)
+        (xy 129.037017 97.682676)
+        (xy 129.032203 97.683114)
+        (xy 128.834572 97.74128)
+        (xy 128.830288 97.743519)
+        (xy 128.830287 97.74352)
+        (xy 128.819428 97.749197)
+        (xy 128.652002 97.836726)
+        (xy 128.648231 97.839758)
+        (xy 128.49522 97.962781)
+        (xy 128.495217 97.962783)
+        (xy 128.491447 97.965815)
+        (xy 128.488333 97.969526)
+        (xy 128.488332 97.969527)
+        (xy 128.479585 97.979952)
+        (xy 128.359024 98.12363)
+        (xy 128.356689 98.127878)
+        (xy 128.356688 98.127879)
+        (xy 128.349955 98.140126)
+        (xy 128.259776 98.304162)
+        (xy 128.197484 98.500532)
+        (xy 128.196944 98.505344)
+        (xy 128.196944 98.505345)
+        (xy 128.195865 98.51497)
+        (xy 128.17452 98.705262)
+        (xy 127.5 98.705262)
+        (xy 127.5 96.165262)
+        (xy 128.17452 96.165262)
+        (xy 128.191759 96.370553)
+        (xy 128.193092 96.375201)
+        (xy 128.193092 96.375202)
+        (xy 128.24063 96.540985)
+        (xy 128.248544 96.568586)
+        (xy 128.342712 96.751818)
+        (xy 128.470677 96.91327)
+        (xy 128.474357 96.916402)
+        (xy 128.474359 96.916404)
+        (xy 128.565327 96.993823)
+        (xy 128.627564 97.046791)
+        (xy 128.631787 97.049151)
+        (xy 128.631791 97.049154)
+        (xy 128.671342 97.071258)
+        (xy 128.807398 97.147297)
+        (xy 128.811996 97.148791)
+        (xy 128.998724 97.209463)
+        (xy 128.998726 97.209464)
+        (xy 129.003329 97.210959)
+        (xy 129.207894 97.235351)
+        (xy 129.212716 97.23498)
+        (xy 129.212719 97.23498)
+        (xy 129.280541 97.229761)
+        (xy 129.4133 97.219546)
+        (xy 129.611725 97.164145)
+        (xy 129.616038 97.161966)
+        (xy 129.616044 97.161964)
+        (xy 129.791289 97.073441)
+        (xy 129.791291 97.07344)
+        (xy 129.79561 97.071258)
+        (xy 129.830943 97.043653)
+        (xy 129.954135 96.947406)
+        (xy 129.954139 96.947402)
+        (xy 129.957951 96.944424)
+        (xy 130.092564 96.788472)
+        (xy 130.111231 96.755613)
+        (xy 130.191934 96.61355)
+        (xy 130.191935 96.613547)
+        (xy 130.194323 96.609344)
+        (xy 130.207882 96.568586)
+        (xy 130.257824 96.418454)
+        (xy 130.257824 96.418452)
+        (xy 130.259351 96.413863)
+        (xy 130.285171 96.209474)
+        (xy 130.285583 96.18)
+        (xy 130.26548 95.97497)
+        (xy 130.259871 95.95639)
+        (xy 130.207333 95.78238)
+        (xy 130.205935 95.777749)
+        (xy 130.109218 95.595849)
+        (xy 129.979011 95.4362)
+        (xy 129.939765 95.403733)
+        (xy 129.824002 95.307965)
+        (xy 129.824 95.307964)
+        (xy 129.820275 95.304882)
+        (xy 129.639055 95.206897)
+        (xy 129.575855 95.187333)
+        (xy 129.446875 95.147407)
+        (xy 129.446871 95.147406)
+        (xy 129.442254 95.145977)
+        (xy 129.437446 95.145472)
+        (xy 129.437443 95.145471)
+        (xy 129.242185 95.124949)
+        (xy 129.242183 95.124949)
+        (xy 129.237369 95.124443)
+        (xy 129.177354 95.129905)
+        (xy 129.037022 95.142675)
+        (xy 129.037017 95.142676)
+        (xy 129.032203 95.143114)
+        (xy 128.834572 95.20128)
+        (xy 128.830288 95.203519)
+        (xy 128.830287 95.20352)
+        (xy 128.819566 95.209125)
+        (xy 128.652002 95.296726)
+        (xy 128.648231 95.299758)
+        (xy 128.49522 95.422781)
+        (xy 128.495217 95.422783)
+        (xy 128.491447 95.425815)
+        (xy 128.488333 95.429526)
+        (xy 128.488332 95.429527)
+        (xy 128.479585 95.439952)
+        (xy 128.359024 95.58363)
+        (xy 128.356689 95.587878)
+        (xy 128.356688 95.587879)
+        (xy 128.349955 95.600126)
+        (xy 128.259776 95.764162)
+        (xy 128.197484 95.960532)
+        (xy 128.196944 95.965344)
+        (xy 128.196944 95.965345)
+        (xy 128.195865 95.97497)
+        (xy 128.17452 96.165262)
+        (xy 127.5 96.165262)
+        (xy 127.5 94.5)
+        (xy 126.796328 93.796328)
+        (xy 126.789804 93.789129)
+        (xy 126.674715 93.648893)
+        (xy 126.663933 93.632756)
+        (xy 126.580717 93.47707)
+        (xy 126.57329 93.45914)
+        (xy 126.522045 93.290207)
+        (xy 126.518259 93.271173)
+        (xy 126.500477 93.090629)
+        (xy 126.5 93.080925)
+        (xy 126.5 91.898823)
+        (xy 128.494391 91.898823)
+        (xy 128.495306 91.90582)
+        (xy 128.495306 91.905821)
+        (xy 128.496814 91.917354)
+        (xy 128.51298 92.040979)
+        (xy 128.515821 92.047435)
+        (xy 128.515821 92.047436)
+        (xy 128.523586 92.065082)
+        (xy 128.57072 92.172203)
+        (xy 128.583792 92.187754)
+        (xy 128.658431 92.276549)
+        (xy 128.658434 92.276551)
+        (xy 128.66297 92.281948)
+        (xy 128.668841 92.285856)
+        (xy 128.668842 92.285857)
+        (xy 128.681143 92.294045)
+        (xy 128.782313 92.36139)
+        (xy 128.88292 92.392821)
+        (xy 128.912425 92.402039)
+        (xy 128.912426 92.402039)
+        (xy 128.919157 92.404142)
+        (xy 128.990828 92.405456)
+        (xy 129.055445 92.406641)
+        (xy 129.055447 92.406641)
+        (xy 129.062499 92.40677)
+        (xy 129.069302 92.404915)
+        (xy 129.069304 92.404915)
+        (xy 129.144503 92.384413)
+        (xy 129.200817 92.36906)
+        (xy 129.322991 92.294045)
+        (xy 129.330403 92.285857)
+        (xy 129.414468 92.192982)
+        (xy 129.4192 92.187754)
+        (xy 129.48171 92.058733)
+        (xy 129.485875 92.033982)
+        (xy 129.504862 91.921124)
+        (xy 129.504862 91.92112)
+        (xy 129.505496 91.917354)
+        (xy 129.505647 91.905)
+        (xy 129.504762 91.898823)
+        (xy 130.494391 91.898823)
+        (xy 130.495306 91.90582)
+        (xy 130.495306 91.905821)
+        (xy 130.496814 91.917354)
+        (xy 130.51298 92.040979)
+        (xy 130.515821 92.047435)
+        (xy 130.515821 92.047436)
+        (xy 130.523586 92.065082)
+        (xy 130.57072 92.172203)
+        (xy 130.583792 92.187754)
+        (xy 130.658431 92.276549)
+        (xy 130.658434 92.276551)
+        (xy 130.66297 92.281948)
+        (xy 130.668841 92.285856)
+        (xy 130.668842 92.285857)
+        (xy 130.681143 92.294045)
+        (xy 130.782313 92.36139)
+        (xy 130.88292 92.392821)
+        (xy 130.912425 92.402039)
+        (xy 130.912426 92.402039)
+        (xy 130.919157 92.404142)
+        (xy 130.990828 92.405456)
+        (xy 131.055445 92.406641)
+        (xy 131.055447 92.406641)
+        (xy 131.062499 92.40677)
+        (xy 131.069302 92.404915)
+        (xy 131.069304 92.404915)
+        (xy 131.144503 92.384413)
+        (xy 131.200817 92.36906)
+        (xy 131.322991 92.294045)
+        (xy 131.330403 92.285857)
+        (xy 131.414468 92.192982)
+        (xy 131.4192 92.187754)
+        (xy 131.48171 92.058733)
+        (xy 131.485875 92.033982)
+        (xy 131.504862 91.921124)
+        (xy 131.504862 91.92112)
+        (xy 131.505496 91.917354)
+        (xy 131.505647 91.905)
+        (xy 131.485323 91.763082)
+        (xy 131.425984 91.632572)
+        (xy 131.3324 91.523963)
+        (xy 131.212095 91.445985)
+        (xy 131.074739 91.404907)
+        (xy 130.991497 91.404398)
+        (xy 130.938427 91.404074)
+        (xy 130.938426 91.404074)
+        (xy 130.931376 91.404031)
+        (xy 130.924599 91.405968)
+        (xy 130.924598 91.405968)
+        (xy 130.800309 91.44149)
+        (xy 130.800307 91.441491)
+        (xy 130.793529 91.443428)
+        (xy 130.67228 91.51993)
+        (xy 130.667613 91.525214)
+        (xy 130.667611 91.525216)
+        (xy 130.582044 91.622103)
+        (xy 130.582042 91.622105)
+        (xy 130.577377 91.627388)
+        (xy 130.516447 91.757163)
+        (xy 130.494391 91.898823)
+        (xy 129.504762 91.898823)
+        (xy 129.485323 91.763082)
+        (xy 129.425984 91.632572)
+        (xy 129.3324 91.523963)
+        (xy 129.212095 91.445985)
+        (xy 129.074739 91.404907)
+        (xy 128.991497 91.404398)
+        (xy 128.938427 91.404074)
+        (xy 128.938426 91.404074)
+        (xy 128.931376 91.404031)
+        (xy 128.924599 91.405968)
+        (xy 128.924598 91.405968)
+        (xy 128.800309 91.44149)
+        (xy 128.800307 91.441491)
+        (xy 128.793529 91.443428)
+        (xy 128.67228 91.51993)
+        (xy 128.667613 91.525214)
+        (xy 128.667611 91.525216)
+        (xy 128.582044 91.622103)
+        (xy 128.582042 91.622105)
+        (xy 128.577377 91.627388)
+        (xy 128.516447 91.757163)
+        (xy 128.494391 91.898823)
+        (xy 126.5 91.898823)
+        (xy 126.5 90.628823)
+        (xy 128.494391 90.628823)
+        (xy 128.495306 90.63582)
+        (xy 128.495306 90.635821)
+        (xy 128.496814 90.647354)
+        (xy 128.51298 90.770979)
+        (xy 128.515821 90.777435)
+        (xy 128.515821 90.777436)
+        (xy 128.523586 90.795082)
+        (xy 128.57072 90.902203)
+        (xy 128.583792 90.917754)
+        (xy 128.658431 91.006549)
+        (xy 128.658434 91.006551)
+        (xy 128.66297 91.011948)
+        (xy 128.668841 91.015856)
+        (xy 128.668842 91.015857)
+        (xy 128.681143 91.024045)
+        (xy 128.782313 91.09139)
+        (xy 128.88292 91.122821)
+        (xy 128.912425 91.132039)
+        (xy 128.912426 91.132039)
+        (xy 128.919157 91.134142)
+        (xy 128.990828 91.135456)
+        (xy 129.055445 91.136641)
+        (xy 129.055447 91.136641)
+        (xy 129.062499 91.13677)
+        (xy 129.069302 91.134915)
+        (xy 129.069304 91.134915)
+        (xy 129.144503 91.114413)
+        (xy 129.200817 91.09906)
+        (xy 129.322991 91.024045)
+        (xy 129.330403 91.015857)
+        (xy 129.414468 90.922982)
+        (xy 129.4192 90.917754)
+        (xy 129.48171 90.788733)
+        (xy 129.485875 90.763982)
+        (xy 129.504862 90.651124)
+        (xy 129.504862 90.65112)
+        (xy 129.505496 90.647354)
+        (xy 129.505647 90.635)
+        (xy 129.504762 90.628823)
+        (xy 130.494391 90.628823)
+        (xy 130.495306 90.63582)
+        (xy 130.495306 90.635821)
+        (xy 130.496814 90.647354)
+        (xy 130.51298 90.770979)
+        (xy 130.515821 90.777435)
+        (xy 130.515821 90.777436)
+        (xy 130.523586 90.795082)
+        (xy 130.57072 90.902203)
+        (xy 130.583792 90.917754)
+        (xy 130.658431 91.006549)
+        (xy 130.658434 91.006551)
+        (xy 130.66297 91.011948)
+        (xy 130.668841 91.015856)
+        (xy 130.668842 91.015857)
+        (xy 130.681143 91.024045)
+        (xy 130.782313 91.09139)
+        (xy 130.88292 91.122821)
+        (xy 130.912425 91.132039)
+        (xy 130.912426 91.132039)
+        (xy 130.919157 91.134142)
+        (xy 130.990828 91.135456)
+        (xy 131.055445 91.136641)
+        (xy 131.055447 91.136641)
+        (xy 131.062499 91.13677)
+        (xy 131.069302 91.134915)
+        (xy 131.069304 91.134915)
+        (xy 131.144503 91.114413)
+        (xy 131.200817 91.09906)
+        (xy 131.322991 91.024045)
+        (xy 131.330403 91.015857)
+        (xy 131.414468 90.922982)
+        (xy 131.4192 90.917754)
+        (xy 131.48171 90.788733)
+        (xy 131.485875 90.763982)
+        (xy 131.504862 90.651124)
+        (xy 131.504862 90.65112)
+        (xy 131.505496 90.647354)
+        (xy 131.505647 90.635)
+        (xy 131.485323 90.493082)
+        (xy 131.425984 90.362572)
+        (xy 131.3324 90.253963)
+        (xy 131.212095 90.175985)
+        (xy 131.074739 90.134907)
+        (xy 130.991497 90.134398)
+        (xy 130.938427 90.134074)
+        (xy 130.938426 90.134074)
+        (xy 130.931376 90.134031)
+        (xy 130.924599 90.135968)
+        (xy 130.924598 90.135968)
+        (xy 130.800309 90.17149)
+        (xy 130.800307 90.171491)
+        (xy 130.793529 90.173428)
+        (xy 130.67228 90.24993)
+        (xy 130.667613 90.255214)
+        (xy 130.667611 90.255216)
+        (xy 130.582044 90.352103)
+        (xy 130.582042 90.352105)
+        (xy 130.577377 90.357388)
+        (xy 130.516447 90.487163)
+        (xy 130.494391 90.628823)
+        (xy 129.504762 90.628823)
+        (xy 129.485323 90.493082)
+        (xy 129.425984 90.362572)
+        (xy 129.3324 90.253963)
+        (xy 129.212095 90.175985)
+        (xy 129.074739 90.134907)
+        (xy 128.991497 90.134398)
+        (xy 128.938427 90.134074)
+        (xy 128.938426 90.134074)
+        (xy 128.931376 90.134031)
+        (xy 128.924599 90.135968)
+        (xy 128.924598 90.135968)
+        (xy 128.800309 90.17149)
+        (xy 128.800307 90.171491)
+        (xy 128.793529 90.173428)
+        (xy 128.67228 90.24993)
+        (xy 128.667613 90.255214)
+        (xy 128.667611 90.255216)
+        (xy 128.582044 90.352103)
+        (xy 128.582042 90.352105)
+        (xy 128.577377 90.357388)
+        (xy 128.516447 90.487163)
+        (xy 128.494391 90.628823)
+        (xy 126.5 90.628823)
+        (xy 126.5 89.358823)
+        (xy 128.494391 89.358823)
+        (xy 128.495306 89.36582)
+        (xy 128.495306 89.365821)
+        (xy 128.496814 89.377354)
+        (xy 128.51298 89.500979)
+        (xy 128.515821 89.507435)
+        (xy 128.515821 89.507436)
+        (xy 128.523586 89.525082)
+        (xy 128.57072 89.632203)
+        (xy 128.583792 89.647754)
+        (xy 128.658431 89.736549)
+        (xy 128.658434 89.736551)
+        (xy 128.66297 89.741948)
+        (xy 128.668841 89.745856)
+        (xy 128.668842 89.745857)
+        (xy 128.681143 89.754045)
+        (xy 128.782313 89.82139)
+        (xy 128.88292 89.852821)
+        (xy 128.912425 89.862039)
+        (xy 128.912426 89.862039)
+        (xy 128.919157 89.864142)
+        (xy 128.990828 89.865456)
+        (xy 129.055445 89.866641)
+        (xy 129.055447 89.866641)
+        (xy 129.062499 89.86677)
+        (xy 129.069302 89.864915)
+        (xy 129.069304 89.864915)
+        (xy 129.144503 89.844413)
+        (xy 129.200817 89.82906)
+        (xy 129.322991 89.754045)
+        (xy 129.330403 89.745857)
+        (xy 129.414468 89.652982)
+        (xy 129.4192 89.647754)
+        (xy 129.48171 89.518733)
+        (xy 129.485875 89.493982)
+        (xy 129.504862 89.381124)
+        (xy 129.504862 89.38112)
+        (xy 129.505496 89.377354)
+        (xy 129.505647 89.365)
+        (xy 129.504762 89.358823)
+        (xy 130.494391 89.358823)
+        (xy 130.495306 89.36582)
+        (xy 130.495306 89.365821)
+        (xy 130.496814 89.377354)
+        (xy 130.51298 89.500979)
+        (xy 130.515821 89.507435)
+        (xy 130.515821 89.507436)
+        (xy 130.523586 89.525082)
+        (xy 130.57072 89.632203)
+        (xy 130.583792 89.647754)
+        (xy 130.658431 89.736549)
+        (xy 130.658434 89.736551)
+        (xy 130.66297 89.741948)
+        (xy 130.668841 89.745856)
+        (xy 130.668842 89.745857)
+        (xy 130.681143 89.754045)
+        (xy 130.782313 89.82139)
+        (xy 130.88292 89.852821)
+        (xy 130.912425 89.862039)
+        (xy 130.912426 89.862039)
+        (xy 130.919157 89.864142)
+        (xy 130.990828 89.865456)
+        (xy 131.055445 89.866641)
+        (xy 131.055447 89.866641)
+        (xy 131.062499 89.86677)
+        (xy 131.069302 89.864915)
+        (xy 131.069304 89.864915)
+        (xy 131.144503 89.844413)
+        (xy 131.200817 89.82906)
+        (xy 131.322991 89.754045)
+        (xy 131.330403 89.745857)
+        (xy 131.414468 89.652982)
+        (xy 131.4192 89.647754)
+        (xy 131.48171 89.518733)
+        (xy 131.485875 89.493982)
+        (xy 131.504862 89.381124)
+        (xy 131.504862 89.38112)
+        (xy 131.505496 89.377354)
+        (xy 131.505647 89.365)
+        (xy 131.485323 89.223082)
+        (xy 131.425984 89.092572)
+        (xy 131.3324 88.983963)
+        (xy 131.212095 88.905985)
+        (xy 131.074739 88.864907)
+        (xy 130.991497 88.864398)
+        (xy 130.938427 88.864074)
+        (xy 130.938426 88.864074)
+        (xy 130.931376 88.864031)
+        (xy 130.924599 88.865968)
+        (xy 130.924598 88.865968)
+        (xy 130.800309 88.90149)
+        (xy 130.800307 88.901491)
+        (xy 130.793529 88.903428)
+        (xy 130.67228 88.97993)
+        (xy 130.667613 88.985214)
+        (xy 130.667611 88.985216)
+        (xy 130.582044 89.082103)
+        (xy 130.582042 89.082105)
+        (xy 130.577377 89.087388)
+        (xy 130.516447 89.217163)
+        (xy 130.494391 89.358823)
+        (xy 129.504762 89.358823)
+        (xy 129.485323 89.223082)
+        (xy 129.425984 89.092572)
+        (xy 129.3324 88.983963)
+        (xy 129.212095 88.905985)
+        (xy 129.074739 88.864907)
+        (xy 128.991497 88.864398)
+        (xy 128.938427 88.864074)
+        (xy 128.938426 88.864074)
+        (xy 128.931376 88.864031)
+        (xy 128.924599 88.865968)
+        (xy 128.924598 88.865968)
+        (xy 128.800309 88.90149)
+        (xy 128.800307 88.901491)
+        (xy 128.793529 88.903428)
+        (xy 128.67228 88.97993)
+        (xy 128.667613 88.985214)
+        (xy 128.667611 88.985216)
+        (xy 128.582044 89.082103)
+        (xy 128.582042 89.082105)
+        (xy 128.577377 89.087388)
+        (xy 128.516447 89.217163)
+        (xy 128.494391 89.358823)
+        (xy 126.5 89.358823)
+        (xy 126.5 88.75)
+        (xy 112.754861 88.75)
+        (xy 112.745157 88.749523)
+        (xy 112.564612 88.731741)
+        (xy 112.545582 88.727955)
+        (xy 112.461113 88.702332)
+        (xy 112.376647 88.67671)
+        (xy 112.358717 88.669283)
+        (xy 112.203031 88.586067)
+        (xy 112.186894 88.575285)
+        (xy 112.131837 88.530102)
+        (xy 112.050427 88.46329)
+        (xy 112.03671 88.449573)
+        (xy 111.924715 88.313106)
+        (xy 111.913933 88.296969)
+        (xy 111.830717 88.141283)
+        (xy 111.82329 88.123353)
+        (xy 111.812815 88.088823)
+        (xy 128.494391 88.088823)
+        (xy 128.495306 88.09582)
+        (xy 128.495306 88.095821)
+        (xy 128.496814 88.107354)
+        (xy 128.51298 88.230979)
+        (xy 128.515821 88.237435)
+        (xy 128.515821 88.237436)
+        (xy 128.523586 88.255082)
+        (xy 128.57072 88.362203)
+        (xy 128.583792 88.377754)
+        (xy 128.658431 88.466549)
+        (xy 128.658434 88.466551)
+        (xy 128.66297 88.471948)
+        (xy 128.668841 88.475856)
+        (xy 128.668842 88.475857)
+        (xy 128.681143 88.484045)
+        (xy 128.782313 88.55139)
+        (xy 128.858798 88.575285)
+        (xy 128.912425 88.592039)
+        (xy 128.912426 88.592039)
+        (xy 128.919157 88.594142)
+        (xy 128.990828 88.595456)
+        (xy 129.055445 88.596641)
+        (xy 129.055447 88.596641)
+        (xy 129.062499 88.59677)
+        (xy 129.069302 88.594915)
+        (xy 129.069304 88.594915)
+        (xy 129.144503 88.574413)
+        (xy 129.200817 88.55906)
+        (xy 129.322991 88.484045)
+        (xy 129.330403 88.475857)
+        (xy 129.414468 88.382982)
+        (xy 129.4192 88.377754)
+        (xy 129.48171 88.248733)
+        (xy 129.485875 88.223982)
+        (xy 129.504862 88.111124)
+        (xy 129.504862 88.11112)
+        (xy 129.505496 88.107354)
+        (xy 129.505647 88.095)
+        (xy 129.485323 87.953082)
+        (xy 129.425984 87.822572)
+        (xy 129.3324 87.713963)
+        (xy 129.212095 87.635985)
+        (xy 129.074739 87.594907)
+        (xy 128.991497 87.594398)
+        (xy 128.938427 87.594074)
+        (xy 128.938426 87.594074)
+        (xy 128.931376 87.594031)
+        (xy 128.924599 87.595968)
+        (xy 128.924598 87.595968)
+        (xy 128.800309 87.63149)
+        (xy 128.800307 87.631491)
+        (xy 128.793529 87.633428)
+        (xy 128.67228 87.70993)
+        (xy 128.667613 87.715214)
+        (xy 128.667611 87.715216)
+        (xy 128.582044 87.812103)
+        (xy 128.582042 87.812105)
+        (xy 128.577377 87.817388)
+        (xy 128.516447 87.947163)
+        (xy 128.494391 88.088823)
+        (xy 111.812815 88.088823)
+        (xy 111.772045 87.95442)
+        (xy 111.768259 87.935386)
+        (xy 111.757781 87.828996)
+        (xy 111.750477 87.754843)
+        (xy 111.75 87.745139)
+        (xy 111.75 86.743823)
+        (xy 123.244391 86.743823)
+        (xy 123.245306 86.75082)
+        (xy 123.245306 86.750821)
+        (xy 123.246814 86.762354)
+        (xy 123.26298 86.885979)
+        (xy 123.265821 86.892435)
+        (xy 123.265821 86.892436)
+        (xy 123.273586 86.910082)
+        (xy 123.32072 87.017203)
+        (xy 123.333792 87.032754)
+        (xy 123.408431 87.121549)
+        (xy 123.408434 87.121551)
+        (xy 123.41297 87.126948)
+        (xy 123.418841 87.130856)
+        (xy 123.418842 87.130857)
+        (xy 123.431143 87.139045)
+        (xy 123.532313 87.20639)
+        (xy 123.63292 87.237821)
+        (xy 123.662425 87.247039)
+        (xy 123.662426 87.247039)
+        (xy 123.669157 87.249142)
+        (xy 123.740828 87.250456)
+        (xy 123.805445 87.251641)
+        (xy 123.805447 87.251641)
+        (xy 123.812499 87.25177)
+        (xy 123.819302 87.249915)
+        (xy 123.819304 87.249915)
+        (xy 123.894503 87.229413)
+        (xy 123.950817 87.21406)
+        (xy 124.072991 87.139045)
+        (xy 124.080403 87.130857)
+        (xy 124.164468 87.037982)
+        (xy 124.1692 87.032754)
+        (xy 124.23171 86.903733)
+        (xy 124.235875 86.878982)
+        (xy 124.254862 86.766124)
+        (xy 124.254862 86.76612)
+        (xy 124.255496 86.762354)
+        (xy 124.255647 86.75)
+        (xy 124.235323 86.608082)
+        (xy 124.186986 86.50177)
+        (xy 124.178905 86.483996)
+        (xy 124.178904 86.483995)
+        (xy 124.175984 86.477572)
+        (xy 124.092649 86.380857)
+        (xy 124.087005 86.374307)
+        (xy 124.087004 86.374306)
+        (xy 124.0824 86.368963)
+        (xy 123.962095 86.290985)
+        (xy 123.824739 86.249907)
+        (xy 123.741497 86.249398)
+        (xy 123.688427 86.249074)
+        (xy 123.688426 86.249074)
+        (xy 123.681376 86.249031)
+        (xy 123.674599 86.250968)
+        (xy 123.674598 86.250968)
+        (xy 123.550309 86.28649)
+        (xy 123.550307 86.286491)
+        (xy 123.543529 86.288428)
+        (xy 123.42228 86.36493)
+        (xy 123.417613 86.370214)
+        (xy 123.417611 86.370216)
+        (xy 123.332044 86.467103)
+        (xy 123.332042 86.467105)
+        (xy 123.327377 86.472388)
+        (xy 123.266447 86.602163)
+        (xy 123.244391 86.743823)
+        (xy 111.75 86.743823)
+        (xy 111.75 86.568039)
+        (xy 111.75278 86.553039)
+        (xy 111.797162 86.510922)
+        (xy 111.841597 86.501295)
+        (xy 111.847396 86.501401)
+        (xy 111.860446 86.501641)
+        (xy 111.860448 86.501641)
+        (xy 111.867499 86.50177)
+        (xy 111.874302 86.499915)
+        (xy 111.874304 86.499915)
+        (xy 111.97527 86.472388)
+        (xy 112.005817 86.46406)
+        (xy 112.127991 86.389045)
+        (xy 112.135403 86.380857)
+        (xy 112.219124 86.288362)
+        (xy 112.272192 86.257908)
+        (xy 112.333025 86.264463)
+        (xy 112.368303 86.291094)
+        (xy 112.44047 86.376948)
+        (xy 112.446341 86.380856)
+        (xy 112.446342 86.380857)
+        (xy 112.458643 86.389045)
+        (xy 112.559813 86.45639)
+        (xy 112.66042 86.487821)
+        (xy 112.689925 86.497039)
+        (xy 112.689926 86.497039)
+        (xy 112.696657 86.499142)
+        (xy 112.768328 86.500456)
+        (xy 112.832945 86.501641)
+        (xy 112.832947 86.501641)
+        (xy 112.839999 86.50177)
+        (xy 112.846802 86.499915)
+        (xy 112.846804 86.499915)
+        (xy 112.94777 86.472388)
+        (xy 112.978317 86.46406)
+        (xy 113.100491 86.389045)
+        (xy 113.107903 86.380857)
+        (xy 113.191968 86.287982)
+        (xy 113.1967 86.282754)
+        (xy 113.25921 86.153733)
+        (xy 113.26038 86.146777)
+        (xy 113.260381 86.146775)
+        (xy 113.282362 86.016124)
+        (xy 113.282362 86.01612)
+        (xy 113.282996 86.012354)
+        (xy 113.283147 86)
+        (xy 113.282262 85.993823)
+        (xy 114.176891 85.993823)
+        (xy 114.177806 86.00082)
+        (xy 114.177806 86.000821)
+        (xy 114.179104 86.010747)
+        (xy 114.19548 86.135979)
+        (xy 114.198321 86.142435)
+        (xy 114.198321 86.142436)
+        (xy 114.246373 86.251641)
+        (xy 114.25322 86.267203)
+        (xy 114.274225 86.292191)
+        (xy 114.340931 86.371549)
+        (xy 114.340934 86.371551)
+        (xy 114.34547 86.376948)
+        (xy 114.351341 86.380856)
+        (xy 114.351342 86.380857)
+        (xy 114.363643 86.389045)
+        (xy 114.464813 86.45639)
+        (xy 114.56542 86.487821)
+        (xy 114.594925 86.497039)
+        (xy 114.594926 86.497039)
+        (xy 114.601657 86.499142)
+        (xy 114.673328 86.500456)
+        (xy 114.737945 86.501641)
+        (xy 114.737947 86.501641)
+        (xy 114.744999 86.50177)
+        (xy 114.751802 86.499915)
+        (xy 114.751804 86.499915)
+        (xy 114.85277 86.472388)
+        (xy 114.883317 86.46406)
+        (xy 115.005491 86.389045)
+        (xy 115.012903 86.380857)
+        (xy 115.096968 86.287982)
+        (xy 115.1017 86.282754)
+        (xy 115.16421 86.153733)
+        (xy 115.176209 86.082414)
+        (xy 115.204509 86.028167)
+        (xy 115.25929 86.000915)
+        (xy 115.275652 85.999857)
+        (xy 115.372945 86.001641)
+        (xy 115.372947 86.001641)
+        (xy 115.379999 86.00177)
+        (xy 115.386802 85.999915)
+        (xy 115.386804 85.999915)
+        (xy 115.462003 85.979413)
+        (xy 115.518317 85.96406)
+        (xy 115.640491 85.889045)
+        (xy 115.6496 85.878982)
+        (xy 115.731968 85.787982)
+        (xy 115.7367 85.782754)
+        (xy 115.755562 85.743823)
+        (xy 119.609391 85.743823)
+        (xy 119.610306 85.75082)
+        (xy 119.610306 85.750821)
+        (xy 119.611814 85.762354)
+        (xy 119.62798 85.885979)
+        (xy 119.630821 85.892435)
+        (xy 119.630821 85.892436)
+        (xy 119.678873 86.001641)
+        (xy 119.68572 86.017203)
+        (xy 119.698792 86.032754)
+        (xy 119.773431 86.121549)
+        (xy 119.773434 86.121551)
+        (xy 119.77797 86.126948)
+        (xy 119.783841 86.130856)
+        (xy 119.783842 86.130857)
+        (xy 119.791537 86.135979)
+        (xy 119.897313 86.20639)
+        (xy 119.99792 86.237821)
+        (xy 120.027425 86.247039)
+        (xy 120.027426 86.247039)
+        (xy 120.034157 86.249142)
+        (xy 120.105828 86.250456)
+        (xy 120.170445 86.251641)
+        (xy 120.170447 86.251641)
+        (xy 120.177499 86.25177)
+        (xy 120.184302 86.249915)
+        (xy 120.184304 86.249915)
+        (xy 120.259503 86.229413)
+        (xy 120.315817 86.21406)
+        (xy 120.437991 86.139045)
+        (xy 120.445403 86.130857)
+        (xy 120.529468 86.037982)
+        (xy 120.5342 86.032754)
+        (xy 120.553062 85.993823)
+        (xy 120.879391 85.993823)
+        (xy 120.880306 86.00082)
+        (xy 120.880306 86.000821)
+        (xy 120.881604 86.010747)
+        (xy 120.89798 86.135979)
+        (xy 120.900821 86.142435)
+        (xy 120.900821 86.142436)
+        (xy 120.948873 86.251641)
+        (xy 120.95572 86.267203)
+        (xy 120.976725 86.292191)
+        (xy 121.043431 86.371549)
+        (xy 121.043434 86.371551)
+        (xy 121.04797 86.376948)
+        (xy 121.053841 86.380856)
+        (xy 121.053842 86.380857)
+        (xy 121.066143 86.389045)
+        (xy 121.167313 86.45639)
+        (xy 121.26792 86.487821)
+        (xy 121.297425 86.497039)
+        (xy 121.297426 86.497039)
+        (xy 121.304157 86.499142)
+        (xy 121.375828 86.500456)
+        (xy 121.440445 86.501641)
+        (xy 121.440447 86.501641)
+        (xy 121.447499 86.50177)
+        (xy 121.454302 86.499915)
+        (xy 121.454304 86.499915)
+        (xy 121.55527 86.472388)
+        (xy 121.585817 86.46406)
+        (xy 121.707991 86.389045)
+        (xy 121.715403 86.380857)
+        (xy 121.799468 86.287982)
+        (xy 121.8042 86.282754)
+        (xy 121.86671 86.153733)
+        (xy 121.86788 86.146777)
+        (xy 121.867881 86.146775)
+        (xy 121.889862 86.016124)
+        (xy 121.889862 86.01612)
+        (xy 121.890496 86.012354)
+        (xy 121.890647 86)
+        (xy 121.870323 85.858082)
+        (xy 121.833189 85.776409)
+        (xy 121.813905 85.733996)
+        (xy 121.813904 85.733995)
+        (xy 121.810984 85.727572)
+        (xy 121.74736 85.653733)
+        (xy 121.722005 85.624307)
+        (xy 121.722002 85.624304)
+        (xy 121.7174 85.618963)
+        (xy 121.597095 85.540985)
+        (xy 121.459739 85.499907)
+        (xy 121.376497 85.499398)
+        (xy 121.323427 85.499074)
+        (xy 121.323426 85.499074)
+        (xy 121.316376 85.499031)
+        (xy 121.309599 85.500968)
+        (xy 121.309598 85.500968)
+        (xy 121.185309 85.53649)
+        (xy 121.185307 85.536491)
+        (xy 121.178529 85.538428)
+        (xy 121.05728 85.61493)
+        (xy 121.052613 85.620214)
+        (xy 121.052611 85.620216)
+        (xy 120.967044 85.717103)
+        (xy 120.967042 85.717105)
+        (xy 120.962377 85.722388)
+        (xy 120.959381 85.72877)
+        (xy 120.95938 85.728771)
+        (xy 120.948643 85.751641)
+        (xy 120.901447 85.852163)
+        (xy 120.900362 85.859132)
+        (xy 120.900361 85.859135)
+        (xy 120.892429 85.910082)
+        (xy 120.879391 85.993823)
+        (xy 120.553062 85.993823)
+        (xy 120.59671 85.903733)
+        (xy 120.599182 85.889045)
+        (xy 120.619862 85.766124)
+        (xy 120.619862 85.76612)
+        (xy 120.620496 85.762354)
+        (xy 120.620647 85.75)
+        (xy 120.600323 85.608082)
+        (xy 120.558985 85.517164)
+        (xy 120.543905 85.483996)
+        (xy 120.543904 85.483995)
+        (xy 120.540984 85.477572)
+        (xy 120.47736 85.403733)
+        (xy 120.452005 85.374307)
+        (xy 120.452004 85.374306)
+        (xy 120.4474 85.368963)
+        (xy 120.327095 85.290985)
+        (xy 120.189739 85.249907)
+        (xy 120.106497 85.249398)
+        (xy 120.053427 85.249074)
+        (xy 120.053426 85.249074)
+        (xy 120.046376 85.249031)
+        (xy 120.039599 85.250968)
+        (xy 120.039598 85.250968)
+        (xy 119.915309 85.28649)
+        (xy 119.915307 85.286491)
+        (xy 119.908529 85.288428)
+        (xy 119.78728 85.36493)
+        (xy 119.782613 85.370214)
+        (xy 119.782611 85.370216)
+        (xy 119.697044 85.467103)
+        (xy 119.697042 85.467105)
+        (xy 119.692377 85.472388)
+        (xy 119.689381 85.47877)
+        (xy 119.68938 85.478771)
+        (xy 119.678643 85.501641)
+        (xy 119.631447 85.602163)
+        (xy 119.630362 85.609132)
+        (xy 119.630361 85.609135)
+        (xy 119.622429 85.660082)
+        (xy 119.609391 85.743823)
+        (xy 115.755562 85.743823)
+        (xy 115.79921 85.653733)
+        (xy 115.800714 85.644797)
+        (xy 115.822362 85.516124)
+        (xy 115.822362 85.51612)
+        (xy 115.822996 85.512354)
+        (xy 115.823147 85.5)
+        (xy 115.802823 85.358082)
+        (xy 115.775702 85.298433)
+        (xy 115.746405 85.233996)
+        (xy 115.746404 85.233995)
+        (xy 115.743484 85.227572)
+        (xy 115.67986 85.153733)
+        (xy 115.654505 85.124307)
+        (xy 115.654504 85.124306)
+        (xy 115.6499 85.118963)
+        (xy 115.529595 85.040985)
+        (xy 115.392239 84.999907)
+        (xy 115.308997 84.999398)
+        (xy 115.255927 84.999074)
+        (xy 115.255926 84.999074)
+        (xy 115.248876 84.999031)
+        (xy 115.242099 85.000968)
+        (xy 115.242098 85.000968)
+        (xy 115.117809 85.03649)
+        (xy 115.117807 85.036491)
+        (xy 115.111029 85.038428)
+        (xy 114.98978 85.11493)
+        (xy 114.985113 85.120214)
+        (xy 114.985111 85.120216)
+        (xy 114.899544 85.217103)
+        (xy 114.899542 85.217105)
+        (xy 114.894877 85.222388)
+        (xy 114.891881 85.22877)
+        (xy 114.89188 85.228771)
+        (xy 114.881143 85.251641)
+        (xy 114.833947 85.352163)
+        (xy 114.829019 85.383814)
+        (xy 114.824016 85.415947)
+        (xy 114.796382 85.470536)
+        (xy 114.741938 85.498456)
+        (xy 114.725595 85.499714)
+        (xy 114.639629 85.499188)
+        (xy 114.620927 85.499074)
+        (xy 114.620926 85.499074)
+        (xy 114.613876 85.499031)
+        (xy 114.607099 85.500968)
+        (xy 114.607098 85.500968)
+        (xy 114.482809 85.53649)
+        (xy 114.482807 85.536491)
+        (xy 114.476029 85.538428)
+        (xy 114.35478 85.61493)
+        (xy 114.350113 85.620214)
+        (xy 114.350111 85.620216)
+        (xy 114.264544 85.717103)
+        (xy 114.264542 85.717105)
+        (xy 114.259877 85.722388)
+        (xy 114.256881 85.72877)
+        (xy 114.25688 85.728771)
+        (xy 114.246143 85.751641)
+        (xy 114.198947 85.852163)
+        (xy 114.197862 85.859132)
+        (xy 114.197861 85.859135)
+        (xy 114.189929 85.910082)
+        (xy 114.176891 85.993823)
+        (xy 113.282262 85.993823)
+        (xy 113.262823 85.858082)
+        (xy 113.225689 85.776409)
+        (xy 113.206405 85.733996)
+        (xy 113.206404 85.733995)
+        (xy 113.203484 85.727572)
+        (xy 113.13986 85.653733)
+        (xy 113.114505 85.624307)
+        (xy 113.114502 85.624304)
+        (xy 113.1099 85.618963)
+        (xy 112.989595 85.540985)
+        (xy 112.852239 85.499907)
+        (xy 112.768997 85.499398)
+        (xy 112.715927 85.499074)
+        (xy 112.715926 85.499074)
+        (xy 112.708876 85.499031)
+        (xy 112.702099 85.500968)
+        (xy 112.702098 85.500968)
+        (xy 112.577809 85.53649)
+        (xy 112.577807 85.536491)
+        (xy 112.571029 85.538428)
+        (xy 112.44978 85.61493)
+        (xy 112.445113 85.620214)
+        (xy 112.445111 85.620216)
+        (xy 112.409903 85.660082)
+        (xy 112.36715 85.708492)
+        (xy 112.36503 85.710892)
+        (xy 112.312338 85.741992)
+        (xy 112.25143 85.736182)
+        (xy 112.215827 85.709982)
+        (xy 112.214544 85.708492)
+        (xy 112.1374 85.618963)
+        (xy 112.017095 85.540985)
+        (xy 111.879739 85.499907)
+        (xy 111.796497 85.499398)
+        (xy 111.743427 85.499074)
+        (xy 111.743426 85.499074)
+        (xy 111.736376 85.499031)
+        (xy 111.729599 85.500968)
+        (xy 111.729598 85.500968)
+        (xy 111.603038 85.537139)
+        (xy 111.541892 85.53495)
+        (xy 111.5 85.502161)
+        (xy 111.5 85.25)
+        (xy 111.243823 84.993823)
+        (xy 113.169391 84.993823)
+        (xy 113.170306 85.00082)
+        (xy 113.170306 85.000821)
+        (xy 113.185245 85.115066)
+        (xy 113.18798 85.135979)
+        (xy 113.190821 85.142435)
+        (xy 113.190821 85.142436)
+        (xy 113.238873 85.251641)
+        (xy 113.24572 85.267203)
+        (xy 113.285893 85.314995)
+        (xy 113.333431 85.371549)
+        (xy 113.333434 85.371551)
+        (xy 113.33797 85.376948)
+        (xy 113.343841 85.380856)
+        (xy 113.343842 85.380857)
+        (xy 113.351537 85.385979)
+        (xy 113.457313 85.45639)
+        (xy 113.55792 85.487821)
+        (xy 113.587425 85.497039)
+        (xy 113.587426 85.497039)
+        (xy 113.594157 85.499142)
+        (xy 113.665828 85.500456)
+        (xy 113.730445 85.501641)
+        (xy 113.730447 85.501641)
+        (xy 113.737499 85.50177)
+        (xy 113.744302 85.499915)
+        (xy 113.744304 85.499915)
+        (xy 113.84527 85.472388)
+        (xy 113.875817 85.46406)
+        (xy 113.997991 85.389045)
+        (xy 114.005403 85.380857)
+        (xy 114.089468 85.287982)
+        (xy 114.0942 85.282754)
+        (xy 114.15671 85.153733)
+        (xy 114.159182 85.139045)
+        (xy 114.179862 85.016124)
+        (xy 114.179862 85.01612)
+        (xy 114.180496 85.012354)
+        (xy 114.180647 85)
+        (xy 114.160323 84.858082)
+        (xy 114.127772 84.78649)
+        (xy 114.108373 84.743823)
+        (xy 118.494391 84.743823)
+        (xy 118.495306 84.75082)
+        (xy 118.495306 84.750821)
+        (xy 118.510245 84.865066)
+        (xy 118.51298 84.885979)
+        (xy 118.515821 84.892435)
+        (xy 118.515821 84.892436)
+        (xy 118.563512 85.000821)
+        (xy 118.57072 85.017203)
+        (xy 118.589012 85.038964)
+        (xy 118.658431 85.121549)
+        (xy 118.658434 85.121551)
+        (xy 118.66297 85.126948)
+        (xy 118.668841 85.130856)
+        (xy 118.668842 85.130857)
+        (xy 118.676537 85.135979)
+        (xy 118.782313 85.20639)
+        (xy 118.879809 85.236849)
+        (xy 118.912425 85.247039)
+        (xy 118.912426 85.247039)
+        (xy 118.919157 85.249142)
+        (xy 118.990828 85.250456)
+        (xy 119.055445 85.251641)
+        (xy 119.055447 85.251641)
+        (xy 119.062499 85.25177)
+        (xy 119.069302 85.249915)
+        (xy 119.069304 85.249915)
+        (xy 119.091649 85.243823)
+        (xy 123.244391 85.243823)
+        (xy 123.245306 85.25082)
+        (xy 123.245306 85.250821)
+        (xy 123.261454 85.374307)
+        (xy 123.26298 85.385979)
+        (xy 123.265821 85.392435)
+        (xy 123.265821 85.392436)
+        (xy 123.313873 85.501641)
+        (xy 123.32072 85.517203)
+        (xy 123.339012 85.538964)
+        (xy 123.408431 85.621549)
+        (xy 123.408434 85.621551)
+        (xy 123.41297 85.626948)
+        (xy 123.418841 85.630856)
+        (xy 123.418842 85.630857)
+        (xy 123.431143 85.639045)
+        (xy 123.532313 85.70639)
+        (xy 123.627674 85.736182)
+        (xy 123.662425 85.747039)
+        (xy 123.662426 85.747039)
+        (xy 123.669157 85.749142)
+        (xy 123.740828 85.750456)
+        (xy 123.805445 85.751641)
+        (xy 123.805447 85.751641)
+        (xy 123.812499 85.75177)
+        (xy 123.819302 85.749915)
+        (xy 123.819304 85.749915)
+        (xy 123.92027 85.722388)
+        (xy 123.950817 85.71406)
+        (xy 124.072991 85.639045)
+        (xy 124.080403 85.630857)
+        (xy 124.164468 85.537982)
+        (xy 124.1692 85.532754)
+        (xy 124.23171 85.403733)
+        (xy 124.234182 85.389045)
+        (xy 124.254862 85.266124)
+        (xy 124.254862 85.26612)
+        (xy 124.255496 85.262354)
+        (xy 124.255647 85.25)
+        (xy 124.235323 85.108082)
+        (xy 124.194003 85.017203)
+        (xy 124.178905 84.983996)
+        (xy 124.178904 84.983995)
+        (xy 124.175984 84.977572)
+        (xy 124.11236 84.903733)
+        (xy 124.087005 84.874307)
+        (xy 124.087004 84.874306)
+        (xy 124.0824 84.868963)
+        (xy 123.962095 84.790985)
+        (xy 123.824739 84.749907)
+        (xy 123.741497 84.749398)
+        (xy 123.688427 84.749074)
+        (xy 123.688426 84.749074)
+        (xy 123.681376 84.749031)
+        (xy 123.674599 84.750968)
+        (xy 123.674598 84.750968)
+        (xy 123.550309 84.78649)
+        (xy 123.550307 84.786491)
+        (xy 123.543529 84.788428)
+        (xy 123.42228 84.86493)
+        (xy 123.417613 84.870214)
+        (xy 123.417611 84.870216)
+        (xy 123.332044 84.967103)
+        (xy 123.332042 84.967105)
+        (xy 123.327377 84.972388)
+        (xy 123.324381 84.97877)
+        (xy 123.32438 84.978771)
+        (xy 123.313507 85.001929)
+        (xy 123.266447 85.102163)
+        (xy 123.265362 85.109132)
+        (xy 123.265361 85.109135)
+        (xy 123.257429 85.160082)
+        (xy 123.244391 85.243823)
+        (xy 119.091649 85.243823)
+        (xy 119.17027 85.222388)
+        (xy 119.200817 85.21406)
+        (xy 119.322991 85.139045)
+        (xy 119.330403 85.130857)
+        (xy 119.414468 85.037982)
+        (xy 119.4192 85.032754)
+        (xy 119.48171 84.903733)
+        (xy 119.485875 84.878982)
+        (xy 119.504862 84.766124)
+        (xy 119.504862 84.76612)
+        (xy 119.505496 84.762354)
+        (xy 119.505647 84.75)
+        (xy 119.485323 84.608082)
+        (xy 119.441068 84.510747)
+        (xy 119.428905 84.483996)
+        (xy 119.428904 84.483995)
+        (xy 119.425984 84.477572)
+        (xy 119.36236 84.403733)
+        (xy 119.337005 84.374307)
+        (xy 119.337004 84.374306)
+        (xy 119.3324 84.368963)
+        (xy 119.212095 84.290985)
+        (xy 119.074739 84.249907)
+        (xy 118.991497 84.249398)
+        (xy 118.938427 84.249074)
+        (xy 118.938426 84.249074)
+        (xy 118.931376 84.249031)
+        (xy 118.924599 84.250968)
+        (xy 118.924598 84.250968)
+        (xy 118.800309 84.28649)
+        (xy 118.800307 84.286491)
+        (xy 118.793529 84.288428)
+        (xy 118.67228 84.36493)
+        (xy 118.667613 84.370214)
+        (xy 118.667611 84.370216)
+        (xy 118.582044 84.467103)
+        (xy 118.582042 84.467105)
+        (xy 118.577377 84.472388)
+        (xy 118.574381 84.47877)
+        (xy 118.57438 84.478771)
+        (xy 118.563507 84.501929)
+        (xy 118.516447 84.602163)
+        (xy 118.515362 84.609132)
+        (xy 118.515361 84.609135)
+        (xy 118.503035 84.688302)
+        (xy 118.494391 84.743823)
+        (xy 114.108373 84.743823)
+        (xy 114.103905 84.733996)
+        (xy 114.103904 84.733995)
+        (xy 114.100984 84.727572)
+        (xy 114.015491 84.628353)
+        (xy 114.012005 84.624307)
+        (xy 114.012004 84.624306)
+        (xy 114.0074 84.618963)
+        (xy 113.887095 84.540985)
+        (xy 113.749739 84.499907)
+        (xy 113.666497 84.499398)
+        (xy 113.613427 84.499074)
+        (xy 113.613426 84.499074)
+        (xy 113.606376 84.499031)
+        (xy 113.599599 84.500968)
+        (xy 113.599598 84.500968)
+        (xy 113.475309 84.53649)
+        (xy 113.475307 84.536491)
+        (xy 113.468529 84.538428)
+        (xy 113.34728 84.61493)
+        (xy 113.342613 84.620214)
+        (xy 113.342611 84.620216)
+        (xy 113.257044 84.717103)
+        (xy 113.257042 84.717105)
+        (xy 113.252377 84.722388)
+        (xy 113.249381 84.72877)
+        (xy 113.24938 84.728771)
+        (xy 113.238643 84.751641)
+        (xy 113.191447 84.852163)
+        (xy 113.190362 84.859132)
+        (xy 113.190361 84.859135)
+        (xy 113.182429 84.910082)
+        (xy 113.169391 84.993823)
+        (xy 111.243823 84.993823)
+        (xy 111.046328 84.796328)
+        (xy 111.039804 84.789129)
+        (xy 110.965776 84.698926)
+        (xy 110.943476 84.641949)
+        (xy 110.943609 84.628353)
+        (xy 110.943928 84.624307)
+        (xy 110.946706 84.589)
+        (xy 110.95231 84.517806)
+        (xy 110.953244 84.511751)
+        (xy 110.953234 84.51175)
+        (xy 110.953875 84.506182)
+        (xy 110.955142 84.500716)
+        (xy 110.955143 84.5)
+        (xy 110.952479 84.488321)
+        (xy 110.95 84.466304)
+        (xy 110.95 84.243823)
+        (xy 120.994391 84.243823)
+        (xy 120.995306 84.25082)
+        (xy 120.995306 84.250821)
+        (xy 121.010253 84.365126)
+        (xy 121.01298 84.385979)
+        (xy 121.015821 84.392435)
+        (xy 121.015821 84.392436)
+        (xy 121.067741 84.510432)
+        (xy 121.07072 84.517203)
+        (xy 121.089012 84.538964)
+        (xy 121.158431 84.621549)
+        (xy 121.158434 84.621551)
+        (xy 121.16297 84.626948)
+        (xy 121.168841 84.630856)
+        (xy 121.168842 84.630857)
+        (xy 121.181143 84.639045)
+        (xy 121.282313 84.70639)
+        (xy 121.379809 84.736849)
+        (xy 121.412425 84.747039)
+        (xy 121.412426 84.747039)
+        (xy 121.419157 84.749142)
+        (xy 121.490828 84.750456)
+        (xy 121.555445 84.751641)
+        (xy 121.555447 84.751641)
+        (xy 121.562499 84.75177)
+        (xy 121.569302 84.749915)
+        (xy 121.569304 84.749915)
+        (xy 121.67027 84.722388)
+        (xy 121.700817 84.71406)
+        (xy 121.822991 84.639045)
+        (xy 121.830403 84.630857)
+        (xy 121.914468 84.537982)
+        (xy 121.9192 84.532754)
+        (xy 121.98171 84.403733)
+        (xy 121.985875 84.378982)
+        (xy 122.004862 84.266124)
+        (xy 122.004862 84.26612)
+        (xy 122.005496 84.262354)
+        (xy 122.005647 84.25)
+        (xy 121.985323 84.108082)
+        (xy 121.925984 83.977572)
+        (xy 121.86236 83.903733)
+        (xy 121.837005 83.874307)
+        (xy 121.837004 83.874306)
+        (xy 121.8324 83.868963)
+        (xy 121.712095 83.790985)
+        (xy 121.574739 83.749907)
+        (xy 121.491497 83.749398)
+        (xy 121.438427 83.749074)
+        (xy 121.438426 83.749074)
+        (xy 121.431376 83.749031)
+        (xy 121.424599 83.750968)
+        (xy 121.424598 83.750968)
+        (xy 121.300309 83.78649)
+        (xy 121.300307 83.786491)
+        (xy 121.293529 83.788428)
+        (xy 121.17228 83.86493)
+        (xy 121.167613 83.870214)
+        (xy 121.167611 83.870216)
+        (xy 121.082044 83.967103)
+        (xy 121.082042 83.967105)
+        (xy 121.077377 83.972388)
+        (xy 121.016447 84.102163)
+        (xy 121.015362 84.109132)
+        (xy 121.015361 84.109135)
+        (xy 121.000828 84.202482)
+        (xy 120.994391 84.243823)
+        (xy 110.95 84.243823)
+        (xy 110.95 83.743823)
+        (xy 126.494391 83.743823)
+        (xy 126.495306 83.75082)
+        (xy 126.495306 83.750821)
+        (xy 126.510253 83.865126)
+        (xy 126.51298 83.885979)
+        (xy 126.515821 83.892435)
+        (xy 126.515821 83.892436)
+        (xy 126.556109 83.983996)
+        (xy 126.57072 84.017203)
+        (xy 126.583792 84.032754)
+        (xy 126.658431 84.121549)
+        (xy 126.658434 84.121551)
+        (xy 126.66297 84.126948)
+        (xy 126.668841 84.130856)
+        (xy 126.668842 84.130857)
+        (xy 126.681143 84.139045)
+        (xy 126.782313 84.20639)
+        (xy 126.879809 84.236849)
+        (xy 126.912425 84.247039)
+        (xy 126.912426 84.247039)
+        (xy 126.919157 84.249142)
+        (xy 126.990828 84.250456)
+        (xy 127.055445 84.251641)
+        (xy 127.055447 84.251641)
+        (xy 127.062499 84.25177)
+        (xy 127.069302 84.249915)
+        (xy 127.069304 84.249915)
+        (xy 127.144503 84.229413)
+        (xy 127.200817 84.21406)
+        (xy 127.322991 84.139045)
+        (xy 127.330403 84.130857)
+        (xy 127.414468 84.037982)
+        (xy 127.4192 84.032754)
+        (xy 127.48171 83.903733)
+        (xy 127.485875 83.878982)
+        (xy 127.504862 83.766124)
+        (xy 127.504862 83.76612)
+        (xy 127.505496 83.762354)
+        (xy 127.505647 83.75)
+        (xy 127.485323 83.608082)
+        (xy 127.425984 83.477572)
+        (xy 127.3324 83.368963)
+        (xy 127.212095 83.290985)
+        (xy 127.074739 83.249907)
+        (xy 126.991497 83.249398)
+        (xy 126.938427 83.249074)
+        (xy 126.938426 83.249074)
+        (xy 126.931376 83.249031)
+        (xy 126.924599 83.250968)
+        (xy 126.924598 83.250968)
+        (xy 126.800309 83.28649)
+        (xy 126.800307 83.286491)
+        (xy 126.793529 83.288428)
+        (xy 126.67228 83.36493)
+        (xy 126.667613 83.370214)
+        (xy 126.667611 83.370216)
+        (xy 126.582044 83.467103)
+        (xy 126.582042 83.467105)
+        (xy 126.577377 83.472388)
+        (xy 126.516447 83.602163)
+        (xy 126.494391 83.743823)
+        (xy 110.95 83.743823)
+        (xy 110.95 82.493823)
+        (xy 122.494391 82.493823)
+        (xy 122.495306 82.50082)
+        (xy 122.495306 82.500821)
+        (xy 122.496814 82.512354)
+        (xy 122.51298 82.635979)
+        (xy 122.515821 82.642435)
+        (xy 122.515821 82.642436)
+        (xy 122.523586 82.660082)
+        (xy 122.57072 82.767203)
+        (xy 122.583792 82.782754)
+        (xy 122.658431 82.871549)
+        (xy 122.658434 82.871551)
+        (xy 122.66297 82.876948)
+        (xy 122.668841 82.880856)
+        (xy 122.668842 82.880857)
+        (xy 122.681143 82.889045)
+        (xy 122.782313 82.95639)
+        (xy 122.88292 82.987821)
+        (xy 122.912425 82.997039)
+        (xy 122.912426 82.997039)
+        (xy 122.919157 82.999142)
+        (xy 122.990828 83.000456)
+        (xy 123.055445 83.001641)
+        (xy 123.055447 83.001641)
+        (xy 123.062499 83.00177)
+        (xy 123.069302 82.999915)
+        (xy 123.069304 82.999915)
+        (xy 123.144503 82.979413)
+        (xy 123.200817 82.96406)
+        (xy 123.322991 82.889045)
+        (xy 123.330403 82.880857)
+        (xy 123.414468 82.787982)
+        (xy 123.4192 82.782754)
+        (xy 123.48171 82.653733)
+        (xy 123.485875 82.628982)
+        (xy 123.504862 82.516124)
+        (xy 123.504862 82.51612)
+        (xy 123.505496 82.512354)
+        (xy 123.505647 82.5)
+        (xy 123.485323 82.358082)
+        (xy 123.425984 82.227572)
+        (xy 123.3324 82.118963)
+        (xy 123.212095 82.040985)
+        (xy 123.074739 81.999907)
+        (xy 122.991497 81.999398)
+        (xy 122.938427 81.999074)
+        (xy 122.938426 81.999074)
+        (xy 122.931376 81.999031)
+        (xy 122.924599 82.000968)
+        (xy 122.924598 82.000968)
+        (xy 122.800309 82.03649)
+        (xy 122.800307 82.036491)
+        (xy 122.793529 82.038428)
+        (xy 122.67228 82.11493)
+        (xy 122.667613 82.120214)
+        (xy 122.667611 82.120216)
+        (xy 122.582044 82.217103)
+        (xy 122.582042 82.217105)
+        (xy 122.577377 82.222388)
+        (xy 122.516447 82.352163)
+        (xy 122.494391 82.493823)
+        (xy 110.95 82.493823)
+        (xy 110.95 81.493823)
+        (xy 118.494391 81.493823)
+        (xy 118.495306 81.50082)
+        (xy 118.495306 81.500821)
+        (xy 118.496814 81.512354)
+        (xy 118.51298 81.635979)
+        (xy 118.515821 81.642435)
+        (xy 118.515821 81.642436)
+        (xy 118.523586 81.660082)
+        (xy 118.57072 81.767203)
+        (xy 118.583792 81.782754)
+        (xy 118.658431 81.871549)
+        (xy 118.658434 81.871551)
+        (xy 118.66297 81.876948)
+        (xy 118.668841 81.880856)
+        (xy 118.668842 81.880857)
+        (xy 118.681143 81.889045)
+        (xy 118.782313 81.95639)
+        (xy 118.88292 81.987821)
+        (xy 118.912425 81.997039)
+        (xy 118.912426 81.997039)
+        (xy 118.919157 81.999142)
+        (xy 118.990828 82.000456)
+        (xy 119.055445 82.001641)
+        (xy 119.055447 82.001641)
+        (xy 119.062499 82.00177)
+        (xy 119.069302 81.999915)
+        (xy 119.069304 81.999915)
+        (xy 119.144503 81.979413)
+        (xy 119.200817 81.96406)
+        (xy 119.322991 81.889045)
+        (xy 119.330403 81.880857)
+        (xy 119.414468 81.787982)
+        (xy 119.4192 81.782754)
+        (xy 119.48171 81.653733)
+        (xy 119.485875 81.628982)
+        (xy 119.504862 81.516124)
+        (xy 119.504862 81.51612)
+        (xy 119.505496 81.512354)
+        (xy 119.505647 81.5)
+        (xy 119.505104 81.496207)
+        (xy 119.500622 81.464908)
+        (xy 119.511089 81.404624)
+        (xy 119.554992 81.362007)
+        (xy 119.615559 81.353334)
+        (xy 119.662022 81.37582)
+        (xy 119.66297 81.376948)
+        (xy 119.668841 81.380856)
+        (xy 119.668842 81.380857)
+        (xy 119.681143 81.389045)
+        (xy 119.782313 81.45639)
+        (xy 119.879809 81.486849)
+        (xy 119.912425 81.497039)
+        (xy 119.912426 81.497039)
+        (xy 119.919157 81.499142)
+        (xy 119.990828 81.500456)
+        (xy 120.055445 81.501641)
+        (xy 120.055447 81.501641)
+        (xy 120.062499 81.50177)
+        (xy 120.069302 81.499915)
+        (xy 120.069304 81.499915)
+        (xy 120.091649 81.493823)
+        (xy 125.494391 81.493823)
+        (xy 125.495306 81.50082)
+        (xy 125.495306 81.500821)
+        (xy 125.496814 81.512354)
+        (xy 125.51298 81.635979)
+        (xy 125.515821 81.642435)
+        (xy 125.515821 81.642436)
+        (xy 125.523586 81.660082)
+        (xy 125.57072 81.767203)
+        (xy 125.583792 81.782754)
+        (xy 125.658431 81.871549)
+        (xy 125.658434 81.871551)
+        (xy 125.66297 81.876948)
+        (xy 125.668841 81.880856)
+        (xy 125.668842 81.880857)
+        (xy 125.681143 81.889045)
+        (xy 125.782313 81.95639)
+        (xy 125.88292 81.987821)
+        (xy 125.912425 81.997039)
+        (xy 125.912426 81.997039)
+        (xy 125.919157 81.999142)
+        (xy 125.990828 82.000456)
+        (xy 126.055445 82.001641)
+        (xy 126.055447 82.001641)
+        (xy 126.062499 82.00177)
+        (xy 126.069302 81.999915)
+        (xy 126.069304 81.999915)
+        (xy 126.144503 81.979413)
+        (xy 126.200817 81.96406)
+        (xy 126.322991 81.889045)
+        (xy 126.330403 81.880857)
+        (xy 126.414468 81.787982)
+        (xy 126.4192 81.782754)
+        (xy 126.48171 81.653733)
+        (xy 126.485875 81.628982)
+        (xy 126.504862 81.516124)
+        (xy 126.504862 81.51612)
+        (xy 126.505496 81.512354)
+        (xy 126.505647 81.5)
+        (xy 126.505104 81.496207)
+        (xy 126.500622 81.464908)
+        (xy 126.511089 81.404624)
+        (xy 126.554992 81.362007)
+        (xy 126.615559 81.353334)
+        (xy 126.662022 81.37582)
+        (xy 126.66297 81.376948)
+        (xy 126.668841 81.380856)
+        (xy 126.668842 81.380857)
+        (xy 126.681143 81.389045)
+        (xy 126.782313 81.45639)
+        (xy 126.879809 81.486849)
+        (xy 126.912425 81.497039)
+        (xy 126.912426 81.497039)
+        (xy 126.919157 81.499142)
+        (xy 126.990828 81.500456)
+        (xy 127.055445 81.501641)
+        (xy 127.055447 81.501641)
+        (xy 127.062499 81.50177)
+        (xy 127.069302 81.499915)
+        (xy 127.069304 81.499915)
+        (xy 127.091649 81.493823)
+        (xy 132.494391 81.493823)
+        (xy 132.495306 81.50082)
+        (xy 132.495306 81.500821)
+        (xy 132.496814 81.512354)
+        (xy 132.51298 81.635979)
+        (xy 132.515821 81.642435)
+        (xy 132.515821 81.642436)
+        (xy 132.523586 81.660082)
+        (xy 132.57072 81.767203)
+        (xy 132.583792 81.782754)
+        (xy 132.658431 81.871549)
+        (xy 132.658434 81.871551)
+        (xy 132.66297 81.876948)
+        (xy 132.668841 81.880856)
+        (xy 132.668842 81.880857)
+        (xy 132.681143 81.889045)
+        (xy 132.782313 81.95639)
+        (xy 132.88292 81.987821)
+        (xy 132.912425 81.997039)
+        (xy 132.912426 81.997039)
+        (xy 132.919157 81.999142)
+        (xy 132.990828 82.000456)
+        (xy 133.055445 82.001641)
+        (xy 133.055447 82.001641)
+        (xy 133.062499 82.00177)
+        (xy 133.069302 81.999915)
+        (xy 133.069304 81.999915)
+        (xy 133.144503 81.979413)
+        (xy 133.200817 81.96406)
+        (xy 133.322991 81.889045)
+        (xy 133.330403 81.880857)
+        (xy 133.414468 81.787982)
+        (xy 133.4192 81.782754)
+        (xy 133.48171 81.653733)
+        (xy 133.485875 81.628982)
+        (xy 133.504862 81.516124)
+        (xy 133.504862 81.51612)
+        (xy 133.505496 81.512354)
+        (xy 133.505647 81.5)
+        (xy 133.485323 81.358082)
+        (xy 133.436986 81.25177)
+        (xy 133.428905 81.233996)
+        (xy 133.428904 81.233995)
+        (xy 133.425984 81.227572)
+        (xy 133.35639 81.146805)
+        (xy 133.337005 81.124307)
+        (xy 133.337004 81.124306)
+        (xy 133.3324 81.118963)
+        (xy 133.212095 81.040985)
+        (xy 133.074739 80.999907)
+        (xy 132.991497 80.999398)
+        (xy 132.938427 80.999074)
+        (xy 132.938426 80.999074)
+        (xy 132.931376 80.999031)
+        (xy 132.924599 81.000968)
+        (xy 132.924598 81.000968)
+        (xy 132.800309 81.03649)
+        (xy 132.800307 81.036491)
+        (xy 132.793529 81.038428)
+        (xy 132.67228 81.11493)
+        (xy 132.667613 81.120214)
+        (xy 132.667611 81.120216)
+        (xy 132.582044 81.217103)
+        (xy 132.582042 81.217105)
+        (xy 132.577377 81.222388)
+        (xy 132.516447 81.352163)
+        (xy 132.515362 81.359132)
+        (xy 132.515361 81.359135)
+        (xy 132.508279 81.404624)
+        (xy 132.494391 81.493823)
+        (xy 127.091649 81.493823)
+        (xy 127.144503 81.479413)
+        (xy 127.200817 81.46406)
+        (xy 127.322991 81.389045)
+        (xy 127.330403 81.380857)
+        (xy 127.414468 81.287982)
+        (xy 127.4192 81.282754)
+        (xy 127.48171 81.153733)
+        (xy 127.484182 81.139045)
+        (xy 127.504862 81.016124)
+        (xy 127.504862 81.01612)
+        (xy 127.505496 81.012354)
+        (xy 127.505647 81)
+        (xy 127.485323 80.858082)
+        (xy 127.444003 80.767203)
+        (xy 127.428905 80.733996)
+        (xy 127.428904 80.733995)
+        (xy 127.425984 80.727572)
+        (xy 127.3324 80.618963)
+        (xy 127.212095 80.540985)
+        (xy 127.074739 80.499907)
+        (xy 126.991497 80.499398)
+        (xy 126.938427 80.499074)
+        (xy 126.938426 80.499074)
+        (xy 126.931376 80.499031)
+        (xy 126.924599 80.500968)
+        (xy 126.924598 80.500968)
+        (xy 126.800309 80.53649)
+        (xy 126.800307 80.536491)
+        (xy 126.793529 80.538428)
+        (xy 126.67228 80.61493)
+        (xy 126.667613 80.620214)
+        (xy 126.667611 80.620216)
+        (xy 126.582044 80.717103)
+        (xy 126.582042 80.717105)
+        (xy 126.577377 80.722388)
+        (xy 126.574381 80.72877)
+        (xy 126.57438 80.728771)
+        (xy 126.562617 80.753826)
+        (xy 126.516447 80.852163)
+        (xy 126.515362 80.859132)
+        (xy 126.515361 80.859135)
+        (xy 126.507429 80.910082)
+        (xy 126.494391 80.993823)
+        (xy 126.495306 81.00082)
+        (xy 126.495306 81.000822)
+        (xy 126.49999 81.036643)
+        (xy 126.488787 81.096794)
+        (xy 126.444368 81.138872)
+        (xy 126.383699 81.146805)
+        (xy 126.336856 81.124134)
+        (xy 126.3324 81.118963)
+        (xy 126.212095 81.040985)
+        (xy 126.074739 80.999907)
+        (xy 125.991497 80.999398)
+        (xy 125.938427 80.999074)
+        (xy 125.938426 80.999074)
+        (xy 125.931376 80.999031)
+        (xy 125.924599 81.000968)
+        (xy 125.924598 81.000968)
+        (xy 125.800309 81.03649)
+        (xy 125.800307 81.036491)
+        (xy 125.793529 81.038428)
+        (xy 125.67228 81.11493)
+        (xy 125.667613 81.120214)
+        (xy 125.667611 81.120216)
+        (xy 125.582044 81.217103)
+        (xy 125.582042 81.217105)
+        (xy 125.577377 81.222388)
+        (xy 125.516447 81.352163)
+        (xy 125.515362 81.359132)
+        (xy 125.515361 81.359135)
+        (xy 125.508279 81.404624)
+        (xy 125.494391 81.493823)
+        (xy 120.091649 81.493823)
+        (xy 120.144503 81.479413)
+        (xy 120.200817 81.46406)
+        (xy 120.322991 81.389045)
+        (xy 120.330403 81.380857)
+        (xy 120.414468 81.287982)
+        (xy 120.4192 81.282754)
+        (xy 120.48171 81.153733)
+        (xy 120.484182 81.139045)
+        (xy 120.504862 81.016124)
+        (xy 120.504862 81.01612)
+        (xy 120.505496 81.012354)
+        (xy 120.505647 81)
+        (xy 120.485323 80.858082)
+        (xy 120.444003 80.767203)
+        (xy 120.428905 80.733996)
+        (xy 120.428904 80.733995)
+        (xy 120.425984 80.727572)
+        (xy 120.3324 80.618963)
+        (xy 120.212095 80.540985)
+        (xy 120.074739 80.499907)
+        (xy 119.991497 80.499398)
+        (xy 119.938427 80.499074)
+        (xy 119.938426 80.499074)
+        (xy 119.931376 80.499031)
+        (xy 119.924599 80.500968)
+        (xy 119.924598 80.500968)
+        (xy 119.87154 80.516132)
+        (xy 119.810394 80.513943)
+        (xy 119.762212 80.476231)
+        (xy 119.746335 80.434977)
+        (xy 119.739008 80.383814)
+        (xy 119.735323 80.358082)
+        (xy 119.694003 80.267203)
+        (xy 119.678905 80.233996)
+        (xy 119.678904 80.233995)
+        (xy 119.675984 80.227572)
+        (xy 119.61236 80.153733)
+        (xy 119.587005 80.124307)
+        (xy 119.587004 80.124306)
+        (xy 119.5824 80.118963)
+        (xy 119.462095 80.040985)
+        (xy 119.324739 79.999907)
+        (xy 119.241497 79.999398)
+        (xy 119.188427 79.999074)
+        (xy 119.188426 79.999074)
+        (xy 119.181376 79.999031)
+        (xy 119.174599 80.000968)
+        (xy 119.174598 80.000968)
+        (xy 119.050309 80.03649)
+        (xy 119.050307 80.036491)
+        (xy 119.043529 80.038428)
+        (xy 118.92228 80.11493)
+        (xy 118.917613 80.120214)
+        (xy 118.917611 80.120216)
+        (xy 118.832044 80.217103)
+        (xy 118.832042 80.217105)
+        (xy 118.827377 80.222388)
+        (xy 118.824381 80.22877)
+        (xy 118.82438 80.228771)
+        (xy 118.806336 80.267203)
+        (xy 118.766447 80.352163)
+        (xy 118.765362 80.359132)
+        (xy 118.765361 80.359135)
+        (xy 118.753553 80.434977)
+        (xy 118.744391 80.493823)
+        (xy 118.745306 80.50082)
+        (xy 118.745306 80.500821)
+        (xy 118.760253 80.615126)
+        (xy 118.76298 80.635979)
+        (xy 118.765821 80.642435)
+        (xy 118.765821 80.642436)
+        (xy 118.813512 80.750821)
+        (xy 118.82072 80.767203)
+        (xy 118.8735 80.829993)
+        (xy 118.900998 80.862706)
+        (xy 118.923968 80.919416)
+        (xy 118.909217 80.978797)
+        (xy 118.862381 81.018167)
+        (xy 118.852423 81.021596)
+        (xy 118.848903 81.022602)
+        (xy 118.800309 81.03649)
+        (xy 118.800307 81.036491)
+        (xy 118.793529 81.038428)
+        (xy 118.67228 81.11493)
+        (xy 118.667613 81.120214)
+        (xy 118.667611 81.120216)
+        (xy 118.582044 81.217103)
+        (xy 118.582042 81.217105)
+        (xy 118.577377 81.222388)
+        (xy 118.516447 81.352163)
+        (xy 118.515362 81.359132)
+        (xy 118.515361 81.359135)
+        (xy 118.508279 81.404624)
+        (xy 118.494391 81.493823)
+        (xy 110.95 81.493823)
+        (xy 110.95 80.743823)
+        (xy 111.994391 80.743823)
+        (xy 111.995306 80.75082)
+        (xy 111.995306 80.750821)
+        (xy 111.996604 80.760747)
+        (xy 112.01298 80.885979)
+        (xy 112.015821 80.892435)
+        (xy 112.015821 80.892436)
+        (xy 112.06311 80.999907)
+        (xy 112.07072 81.017203)
+        (xy 112.089012 81.038964)
+        (xy 112.158431 81.121549)
+        (xy 112.158434 81.121551)
+        (xy 112.16297 81.126948)
+        (xy 112.168841 81.130856)
+        (xy 112.168842 81.130857)
+        (xy 112.176537 81.135979)
+        (xy 112.282313 81.20639)
+        (xy 112.38292 81.237821)
+        (xy 112.412425 81.247039)
+        (xy 112.412426 81.247039)
+        (xy 112.419157 81.249142)
+        (xy 112.490828 81.250456)
+        (xy 112.555445 81.251641)
+        (xy 112.555447 81.251641)
+        (xy 112.562499 81.25177)
+        (xy 112.569302 81.249915)
+        (xy 112.569304 81.249915)
+        (xy 112.67027 81.222388)
+        (xy 112.700817 81.21406)
+        (xy 112.822991 81.139045)
+        (xy 112.830403 81.130857)
+        (xy 112.914468 81.037982)
+        (xy 112.9192 81.032754)
+        (xy 112.98171 80.903733)
+        (xy 112.985875 80.878982)
+        (xy 113.004862 80.766124)
+        (xy 113.004862 80.76612)
+        (xy 113.005496 80.762354)
+        (xy 113.005647 80.75)
+        (xy 112.986148 80.613843)
+        (xy 112.996615 80.553559)
+        (xy 113.040517 80.510941)
+        (xy 113.085962 80.500825)
+        (xy 113.100565 80.501093)
+        (xy 113.130446 80.501641)
+        (xy 113.130448 80.501641)
+        (xy 113.137499 80.50177)
+        (xy 113.144302 80.499915)
+        (xy 113.144304 80.499915)
+        (xy 113.225093 80.477889)
+        (xy 113.275817 80.46406)
+        (xy 113.397991 80.389045)
+        (xy 113.4942 80.282754)
+        (xy 113.55671 80.153733)
+        (xy 113.559634 80.136358)
+        (xy 113.579862 80.016124)
+        (xy 113.579862 80.01612)
+        (xy 113.580496 80.012354)
+        (xy 113.580647 80)
+        (xy 113.560323 79.858082)
+        (xy 113.511986 79.75177)
+        (xy 113.503905 79.733996)
+        (xy 113.503904 79.733995)
+        (xy 113.500984 79.727572)
+        (xy 113.417649 79.630857)
+        (xy 113.412005 79.624307)
+        (xy 113.412004 79.624306)
+        (xy 113.4074 79.618963)
+        (xy 113.287095 79.540985)
+        (xy 113.149739 79.499907)
+        (xy 113.066497 79.499398)
+        (xy 113.013427 79.499074)
+        (xy 113.013426 79.499074)
+        (xy 113.006376 79.499031)
+        (xy 112.999599 79.500968)
+        (xy 112.999598 79.500968)
+        (xy 112.875309 79.53649)
+        (xy 112.875307 79.536491)
+        (xy 112.868529 79.538428)
+        (xy 112.74728 79.61493)
+        (xy 112.742613 79.620214)
+        (xy 112.742611 79.620216)
+        (xy 112.657044 79.717103)
+        (xy 112.657042 79.717105)
+        (xy 112.652377 79.722388)
+        (xy 112.591447 79.852163)
+        (xy 112.569391 79.993823)
+        (xy 112.570306 80.00082)
+        (xy 112.570306 80.000821)
+        (xy 112.58798 80.135979)
+        (xy 112.585085 80.136358)
+        (xy 112.583036 80.184923)
+        (xy 112.545018 80.232863)
+        (xy 112.48965 80.249387)
+        (xy 112.438427 80.249074)
+        (xy 112.438426 80.249074)
+        (xy 112.431376 80.249031)
+        (xy 112.424599 80.250968)
+        (xy 112.424598 80.250968)
+        (xy 112.300309 80.28649)
+        (xy 112.300307 80.286491)
+        (xy 112.293529 80.288428)
+        (xy 112.17228 80.36493)
+        (xy 112.167613 80.370214)
+        (xy 112.167611 80.370216)
+        (xy 112.082044 80.467103)
+        (xy 112.082042 80.467105)
+        (xy 112.077377 80.472388)
+        (xy 112.074381 80.47877)
+        (xy 112.07438 80.478771)
+        (xy 112.063643 80.501641)
+        (xy 112.016447 80.602163)
+        (xy 112.015362 80.609132)
+        (xy 112.015361 80.609135)
+        (xy 112.00068 80.70343)
+        (xy 111.994391 80.743823)
+        (xy 110.95 80.743823)
+        (xy 110.95 79.243823)
+        (xy 121.244391 79.243823)
+        (xy 121.245306 79.25082)
+        (xy 121.245306 79.250821)
+        (xy 121.246814 79.262354)
+        (xy 121.26298 79.385979)
+        (xy 121.265821 79.392435)
+        (xy 121.265821 79.392436)
+        (xy 121.31311 79.499907)
+        (xy 121.32072 79.517203)
+        (xy 121.339012 79.538964)
+        (xy 121.408431 79.621549)
+        (xy 121.408434 79.621551)
+        (xy 121.41297 79.626948)
+        (xy 121.418841 79.630856)
+        (xy 121.418842 79.630857)
+        (xy 121.431143 79.639045)
+        (xy 121.532313 79.70639)
+        (xy 121.63292 79.737821)
+        (xy 121.662425 79.747039)
+        (xy 121.662426 79.747039)
+        (xy 121.669157 79.749142)
+        (xy 121.740828 79.750456)
+        (xy 121.805445 79.751641)
+        (xy 121.805447 79.751641)
+        (xy 121.812499 79.75177)
+        (xy 121.819302 79.749915)
+        (xy 121.819304 79.749915)
+        (xy 121.92027 79.722388)
+        (xy 121.950817 79.71406)
+        (xy 122.072991 79.639045)
+        (xy 122.080403 79.630857)
+        (xy 122.164468 79.537982)
+        (xy 122.1692 79.532754)
+        (xy 122.23171 79.403733)
+        (xy 122.235875 79.378982)
+        (xy 122.254862 79.266124)
+        (xy 122.254862 79.26612)
+        (xy 122.255496 79.262354)
+        (xy 122.255647 79.25)
+        (xy 122.235323 79.108082)
+        (xy 122.175984 78.977572)
+        (xy 122.0824 78.868963)
+        (xy 121.962095 78.790985)
+        (xy 121.824739 78.749907)
+        (xy 121.741497 78.749398)
+        (xy 121.688427 78.749074)
+        (xy 121.688426 78.749074)
+        (xy 121.681376 78.749031)
+        (xy 121.674599 78.750968)
+        (xy 121.674598 78.750968)
+        (xy 121.550309 78.78649)
+        (xy 121.550307 78.786491)
+        (xy 121.543529 78.788428)
+        (xy 121.42228 78.86493)
+        (xy 121.417613 78.870214)
+        (xy 121.417611 78.870216)
+        (xy 121.332044 78.967103)
+        (xy 121.332042 78.967105)
+        (xy 121.327377 78.972388)
+        (xy 121.266447 79.102163)
+        (xy 121.244391 79.243823)
+        (xy 110.95 79.243823)
+        (xy 110.95 76.743823)
+        (xy 112.519391 76.743823)
+        (xy 112.520306 76.75082)
+        (xy 112.520306 76.750821)
+        (xy 112.535253 76.865126)
+        (xy 112.53798 76.885979)
+        (xy 112.540821 76.892435)
+        (xy 112.540821 76.892436)
+        (xy 112.58811 76.999907)
+        (xy 112.59572 77.017203)
+        (xy 112.641845 77.072076)
+        (xy 112.683431 77.121549)
+        (xy 112.683434 77.121551)
+        (xy 112.68797 77.126948)
+        (xy 112.693841 77.130856)
+        (xy 112.693842 77.130857)
+        (xy 112.706143 77.139045)
+        (xy 112.807313 77.20639)
+        (xy 112.904809 77.236849)
+        (xy 112.937425 77.247039)
+        (xy 112.937426 77.247039)
+        (xy 112.944157 77.249142)
+        (xy 113.015828 77.250456)
+        (xy 113.080445 77.251641)
+        (xy 113.080447 77.251641)
+        (xy 113.087499 77.25177)
+        (xy 113.094302 77.249915)
+        (xy 113.094304 77.249915)
+        (xy 113.169503 77.229413)
+        (xy 113.225817 77.21406)
+        (xy 113.347991 77.139045)
+        (xy 113.355403 77.130857)
+        (xy 113.439468 77.037982)
+        (xy 113.4442 77.032754)
+        (xy 113.50671 76.903733)
+        (xy 113.510875 76.878982)
+        (xy 113.529862 76.766124)
+        (xy 113.529862 76.76612)
+        (xy 113.530496 76.762354)
+        (xy 113.530647 76.75)
+        (xy 113.529762 76.743823)
+        (xy 119.519391 76.743823)
+        (xy 119.520306 76.75082)
+        (xy 119.520306 76.750821)
+        (xy 119.535253 76.865126)
+        (xy 119.53798 76.885979)
+        (xy 119.540821 76.892435)
+        (xy 119.540821 76.892436)
+        (xy 119.58811 76.999907)
+        (xy 119.59572 77.017203)
+        (xy 119.641845 77.072076)
+        (xy 119.683431 77.121549)
+        (xy 119.683434 77.121551)
+        (xy 119.68797 77.126948)
+        (xy 119.693841 77.130856)
+        (xy 119.693842 77.130857)
+        (xy 119.706143 77.139045)
+        (xy 119.807313 77.20639)
+        (xy 119.904809 77.236849)
+        (xy 119.937425 77.247039)
+        (xy 119.937426 77.247039)
+        (xy 119.944157 77.249142)
+        (xy 120.015828 77.250456)
+        (xy 120.080445 77.251641)
+        (xy 120.080447 77.251641)
+        (xy 120.087499 77.25177)
+        (xy 120.094302 77.249915)
+        (xy 120.094304 77.249915)
+        (xy 120.169503 77.229413)
+        (xy 120.225817 77.21406)
+        (xy 120.347991 77.139045)
+        (xy 120.355403 77.130857)
+        (xy 120.439468 77.037982)
+        (xy 120.4442 77.032754)
+        (xy 120.50671 76.903733)
+        (xy 120.510875 76.878982)
+        (xy 120.529862 76.766124)
+        (xy 120.529862 76.76612)
+        (xy 120.530496 76.762354)
+        (xy 120.530647 76.75)
+        (xy 120.529762 76.743823)
+        (xy 126.519391 76.743823)
+        (xy 126.520306 76.75082)
+        (xy 126.520306 76.750821)
+        (xy 126.535253 76.865126)
+        (xy 126.53798 76.885979)
+        (xy 126.540821 76.892435)
+        (xy 126.540821 76.892436)
+        (xy 126.58811 76.999907)
+        (xy 126.59572 77.017203)
+        (xy 126.641845 77.072076)
+        (xy 126.683431 77.121549)
+        (xy 126.683434 77.121551)
+        (xy 126.68797 77.126948)
+        (xy 126.693841 77.130856)
+        (xy 126.693842 77.130857)
+        (xy 126.706143 77.139045)
+        (xy 126.807313 77.20639)
+        (xy 126.904809 77.236849)
+        (xy 126.937425 77.247039)
+        (xy 126.937426 77.247039)
+        (xy 126.944157 77.249142)
+        (xy 127.015828 77.250456)
+        (xy 127.080445 77.251641)
+        (xy 127.080447 77.251641)
+        (xy 127.087499 77.25177)
+        (xy 127.094302 77.249915)
+        (xy 127.094304 77.249915)
+        (xy 127.116649 77.243823)
+        (xy 130.244391 77.243823)
+        (xy 130.245306 77.25082)
+        (xy 130.245306 77.250821)
+        (xy 130.246814 77.262354)
+        (xy 130.26298 77.385979)
+        (xy 130.265821 77.392435)
+        (xy 130.265821 77.392436)
+        (xy 130.273586 77.410082)
+        (xy 130.32072 77.517203)
+        (xy 130.333792 77.532754)
+        (xy 130.408431 77.621549)
+        (xy 130.408434 77.621551)
+        (xy 130.41297 77.626948)
+        (xy 130.418841 77.630856)
+        (xy 130.418842 77.630857)
+        (xy 130.431143 77.639045)
+        (xy 130.532313 77.70639)
+        (xy 130.63292 77.737821)
+        (xy 130.662425 77.747039)
+        (xy 130.662426 77.747039)
+        (xy 130.669157 77.749142)
+        (xy 130.740828 77.750456)
+        (xy 130.805445 77.751641)
+        (xy 130.805447 77.751641)
+        (xy 130.812499 77.75177)
+        (xy 130.819302 77.749915)
+        (xy 130.819304 77.749915)
+        (xy 130.950817 77.71406)
+        (xy 130.951381 77.716128)
+        (xy 131.002237 77.711321)
+        (xy 131.054902 77.742468)
+        (xy 131.064687 77.75562)
+        (xy 131.067881 77.760751)
+        (xy 131.07072 77.767203)
+        (xy 131.075257 77.7726)
+        (xy 131.158431 77.871549)
+        (xy 131.158434 77.871551)
+        (xy 131.16297 77.876948)
+        (xy 131.168841 77.880856)
+        (xy 131.168842 77.880857)
+        (xy 131.181143 77.889045)
+        (xy 131.282313 77.95639)
+        (xy 131.38292 77.987821)
+        (xy 131.412425 77.997039)
+        (xy 131.412426 77.997039)
+        (xy 131.419157 77.999142)
+        (xy 131.490828 78.000456)
+        (xy 131.555445 78.001641)
+        (xy 131.555447 78.001641)
+        (xy 131.562499 78.00177)
+        (xy 131.569302 77.999915)
+        (xy 131.569304 77.999915)
+        (xy 131.644503 77.979413)
+        (xy 131.700817 77.96406)
+        (xy 131.822991 77.889045)
+        (xy 131.830403 77.880857)
+        (xy 131.914468 77.787982)
+        (xy 131.9192 77.782754)
+        (xy 131.926735 77.767203)
+        (xy 131.929011 77.762505)
+        (xy 131.971398 77.71838)
+        (xy 132.031626 77.707598)
+        (xy 132.047624 77.711173)
+        (xy 132.070692 77.71838)
+        (xy 132.162425 77.747039)
+        (xy 132.162426 77.747039)
+        (xy 132.169157 77.749142)
+        (xy 132.240828 77.750456)
+        (xy 132.305445 77.751641)
+        (xy 132.305447 77.751641)
+        (xy 132.312499 77.75177)
+        (xy 132.319302 77.749915)
+        (xy 132.319304 77.749915)
+        (xy 132.394503 77.729413)
+        (xy 132.450817 77.71406)
+        (xy 132.572991 77.639045)
+        (xy 132.580403 77.630857)
+        (xy 132.664468 77.537982)
+        (xy 132.6692 77.532754)
+        (xy 132.73171 77.403733)
+        (xy 132.735875 77.378982)
+        (xy 132.754862 77.266124)
+        (xy 132.754862 77.26612)
+        (xy 132.755496 77.262354)
+        (xy 132.755647 77.25)
+        (xy 132.735323 77.108082)
+        (xy 132.691068 77.010747)
+        (xy 132.678905 76.983996)
+        (xy 132.678904 76.983995)
+        (xy 132.675984 76.977572)
+        (xy 132.61236 76.903733)
+        (xy 132.587005 76.874307)
+        (xy 132.587004 76.874306)
+        (xy 132.5824 76.868963)
+        (xy 132.462095 76.790985)
+        (xy 132.324739 76.749907)
+        (xy 132.241497 76.749398)
+        (xy 132.188427 76.749074)
+        (xy 132.188426 76.749074)
+        (xy 132.181376 76.749031)
+        (xy 132.174599 76.750968)
+        (xy 132.174598 76.750968)
+        (xy 132.050309 76.78649)
+        (xy 132.050307 76.786491)
+        (xy 132.043529 76.788428)
+        (xy 131.92228 76.86493)
+        (xy 131.917613 76.870214)
+        (xy 131.917611 76.870216)
+        (xy 131.882403 76.910082)
+        (xy 131.827377 76.972388)
+        (xy 131.824378 76.978775)
+        (xy 131.824377 76.978777)
+        (xy 131.821193 76.985557)
+        (xy 131.779346 77.030194)
+        (xy 131.719254 77.04171)
+        (xy 131.703214 77.038329)
+        (xy 131.5815 77.001929)
+        (xy 131.574739 76.999907)
+        (xy 131.491497 76.999398)
+        (xy 131.438427 76.999074)
+        (xy 131.438426 76.999074)
+        (xy 131.431376 76.999031)
+        (xy 131.424599 77.000968)
+        (xy 131.424598 77.000968)
+        (xy 131.296009 77.037719)
+        (xy 131.234863 77.03553)
+        (xy 131.186681 76.997818)
+        (xy 131.17931 76.984629)
+        (xy 131.178904 76.983994)
+        (xy 131.175984 76.977572)
+        (xy 131.11236 76.903733)
+        (xy 131.087005 76.874307)
+        (xy 131.087004 76.874306)
+        (xy 131.0824 76.868963)
+        (xy 130.962095 76.790985)
+        (xy 130.824739 76.749907)
+        (xy 130.741497 76.749398)
+        (xy 130.688427 76.749074)
+        (xy 130.688426 76.749074)
+        (xy 130.681376 76.749031)
+        (xy 130.674599 76.750968)
+        (xy 130.674598 76.750968)
+        (xy 130.550309 76.78649)
+        (xy 130.550307 76.786491)
+        (xy 130.543529 76.788428)
+        (xy 130.42228 76.86493)
+        (xy 130.417613 76.870214)
+        (xy 130.417611 76.870216)
+        (xy 130.332044 76.967103)
+        (xy 130.332042 76.967105)
+        (xy 130.327377 76.972388)
+        (xy 130.324381 76.97877)
+        (xy 130.32438 76.978771)
+        (xy 130.313507 77.001929)
+        (xy 130.266447 77.102163)
+        (xy 130.265362 77.109132)
+        (xy 130.265361 77.109135)
+        (xy 130.250828 77.202482)
+        (xy 130.244391 77.243823)
+        (xy 127.116649 77.243823)
+        (xy 127.169503 77.229413)
+        (xy 127.225817 77.21406)
+        (xy 127.347991 77.139045)
+        (xy 127.355403 77.130857)
+        (xy 127.439468 77.037982)
+        (xy 127.4442 77.032754)
+        (xy 127.50671 76.903733)
+        (xy 127.510875 76.878982)
+        (xy 127.529862 76.766124)
+        (xy 127.529862 76.76612)
+        (xy 127.530496 76.762354)
+        (xy 127.530647 76.75)
+        (xy 127.510323 76.608082)
+        (xy 127.460856 76.499284)
+        (xy 127.453905 76.483996)
+        (xy 127.453904 76.483995)
+        (xy 127.450984 76.477572)
+        (xy 127.3574 76.368963)
+        (xy 127.237095 76.290985)
+        (xy 127.099739 76.249907)
+        (xy 127.016497 76.249398)
+        (xy 126.963427 76.249074)
+        (xy 126.963426 76.249074)
+        (xy 126.956376 76.249031)
+        (xy 126.949599 76.250968)
+        (xy 126.949598 76.250968)
+        (xy 126.825309 76.28649)
+        (xy 126.825307 76.286491)
+        (xy 126.818529 76.288428)
+        (xy 126.69728 76.36493)
+        (xy 126.692613 76.370214)
+        (xy 126.692611 76.370216)
+        (xy 126.607044 76.467103)
+        (xy 126.607042 76.467105)
+        (xy 126.602377 76.472388)
+        (xy 126.541447 76.602163)
+        (xy 126.519391 76.743823)
+        (xy 120.529762 76.743823)
+        (xy 120.510323 76.608082)
+        (xy 120.460856 76.499284)
+        (xy 120.453905 76.483996)
+        (xy 120.453904 76.483995)
+        (xy 120.450984 76.477572)
+        (xy 120.3574 76.368963)
+        (xy 120.237095 76.290985)
+        (xy 120.099739 76.249907)
+        (xy 120.016497 76.249398)
+        (xy 119.963427 76.249074)
+        (xy 119.963426 76.249074)
+        (xy 119.956376 76.249031)
+        (xy 119.949599 76.250968)
+        (xy 119.949598 76.250968)
+        (xy 119.825309 76.28649)
+        (xy 119.825307 76.286491)
+        (xy 119.818529 76.288428)
+        (xy 119.69728 76.36493)
+        (xy 119.692613 76.370214)
+        (xy 119.692611 76.370216)
+        (xy 119.607044 76.467103)
+        (xy 119.607042 76.467105)
+        (xy 119.602377 76.472388)
+        (xy 119.541447 76.602163)
+        (xy 119.519391 76.743823)
+        (xy 113.529762 76.743823)
+        (xy 113.510323 76.608082)
+        (xy 113.460856 76.499284)
+        (xy 113.453905 76.483996)
+        (xy 113.453904 76.483995)
+        (xy 113.450984 76.477572)
+        (xy 113.3574 76.368963)
+        (xy 113.237095 76.290985)
+        (xy 113.099739 76.249907)
+        (xy 113.016497 76.249398)
+        (xy 112.963427 76.249074)
+        (xy 112.963426 76.249074)
+        (xy 112.956376 76.249031)
+        (xy 112.949599 76.250968)
+        (xy 112.949598 76.250968)
+        (xy 112.825309 76.28649)
+        (xy 112.825307 76.286491)
+        (xy 112.818529 76.288428)
+        (xy 112.69728 76.36493)
+        (xy 112.692613 76.370214)
+        (xy 112.692611 76.370216)
+        (xy 112.607044 76.467103)
+        (xy 112.607042 76.467105)
+        (xy 112.602377 76.472388)
+        (xy 112.541447 76.602163)
+        (xy 112.519391 76.743823)
+        (xy 110.95 76.743823)
+        (xy 110.95 76.53396)
+        (xy 110.952518 76.511773)
+        (xy 110.952562 76.511583)
+        (xy 110.955143 76.500358)
+        (xy 110.952682 76.489484)
+        (xy 110.952702 76.478335)
+        (xy 110.953716 76.478337)
+        (xy 110.953105 76.468476)
+        (xy 110.964416 76.353632)
+        (xy 110.968202 76.334598)
+        (xy 111.008066 76.203183)
+        (xy 111.015493 76.185252)
+        (xy 111.046078 76.128033)
+        (xy 111.080228 76.064143)
+        (xy 111.091008 76.048009)
+        (xy 111.168546 75.953527)
+        (xy 111.178131 75.941848)
+        (xy 111.191848 75.928131)
+        (xy 111.298009 75.841008)
+        (xy 111.314144 75.830227)
+        (xy 111.384771 75.792476)
+        (xy 111.435255 75.765492)
+        (xy 111.453183 75.758066)
+        (xy 111.518891 75.738134)
+        (xy 111.5846 75.718202)
+        (xy 111.60363 75.714416)
+        (xy 111.718499 75.703103)
+        (xy 111.728371 75.702626)
+        (xy 111.738775 75.702644)
+        (xy 111.749642 75.705143)
+        (xy 111.761583 75.702441)
+        (xy 111.783432 75.7)
+        (xy 132.96604 75.7)
+      )
+    )
+  )
+)
diff --git a/hardware/icE1usb-rs422/icE1usb-rs422.kicad_pro b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_pro
new file mode 100644
index 0000000..acd6473
--- /dev/null
+++ b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_pro
@@ -0,0 +1,443 @@
+{
+  "board": {
+    "design_settings": {
+      "defaults": {
+        "board_outline_line_width": 0.09999999999999999,
+        "copper_line_width": 0.19999999999999998,
+        "copper_text_italic": false,
+        "copper_text_size_h": 1.5,
+        "copper_text_size_v": 1.5,
+        "copper_text_thickness": 0.3,
+        "copper_text_upright": false,
+        "courtyard_line_width": 0.049999999999999996,
+        "dimension_precision": 4,
+        "dimension_units": 3,
+        "dimensions": {
+          "arrow_length": 1270000,
+          "extension_offset": 500000,
+          "keep_text_aligned": true,
+          "suppress_zeroes": false,
+          "text_position": 0,
+          "units_format": 1
+        },
+        "fab_line_width": 0.09999999999999999,
+        "fab_text_italic": false,
+        "fab_text_size_h": 1.0,
+        "fab_text_size_v": 1.0,
+        "fab_text_thickness": 0.15,
+        "fab_text_upright": false,
+        "other_line_width": 0.15,
+        "other_text_italic": false,
+        "other_text_size_h": 1.0,
+        "other_text_size_v": 1.0,
+        "other_text_thickness": 0.15,
+        "other_text_upright": false,
+        "pads": {
+          "drill": 0.0,
+          "height": 0.4,
+          "width": 1.2
+        },
+        "silk_line_width": 0.15,
+        "silk_text_italic": false,
+        "silk_text_size_h": 1.0,
+        "silk_text_size_v": 1.0,
+        "silk_text_thickness": 0.15,
+        "silk_text_upright": false,
+        "zones": {
+          "45_degree_only": false,
+          "min_clearance": 0.19999999999999998
+        }
+      },
+      "diff_pair_dimensions": [
+        {
+          "gap": 0.0,
+          "via_gap": 0.0,
+          "width": 0.0
+        }
+      ],
+      "drc_exclusions": [],
+      "meta": {
+        "version": 2
+      },
+      "rule_severities": {
+        "annular_width": "error",
+        "clearance": "error",
+        "copper_edge_clearance": "error",
+        "courtyards_overlap": "error",
+        "diff_pair_gap_out_of_range": "error",
+        "diff_pair_uncoupled_length_too_long": "error",
+        "drill_out_of_range": "error",
+        "duplicate_footprints": "warning",
+        "extra_footprint": "warning",
+        "footprint_type_mismatch": "error",
+        "hole_clearance": "error",
+        "hole_near_hole": "error",
+        "invalid_outline": "error",
+        "item_on_disabled_layer": "error",
+        "items_not_allowed": "error",
+        "length_out_of_range": "error",
+        "malformed_courtyard": "error",
+        "microvia_drill_out_of_range": "error",
+        "missing_courtyard": "ignore",
+        "missing_footprint": "warning",
+        "net_conflict": "warning",
+        "npth_inside_courtyard": "ignore",
+        "padstack": "error",
+        "pth_inside_courtyard": "ignore",
+        "shorting_items": "error",
+        "silk_over_copper": "warning",
+        "silk_overlap": "warning",
+        "skew_out_of_range": "error",
+        "through_hole_pad_without_hole": "error",
+        "too_many_vias": "error",
+        "track_dangling": "warning",
+        "track_width": "error",
+        "tracks_crossing": "error",
+        "unconnected_items": "error",
+        "unresolved_variable": "error",
+        "via_dangling": "warning",
+        "zone_has_empty_net": "error",
+        "zones_intersect": "error"
+      },
+      "rules": {
+        "allow_blind_buried_vias": false,
+        "allow_microvias": false,
+        "max_error": 0.005,
+        "min_clearance": 0.0,
+        "min_copper_edge_clearance": 0.0,
+        "min_hole_clearance": 0.25,
+        "min_hole_to_hole": 0.25,
+        "min_microvia_diameter": 0.19999999999999998,
+        "min_microvia_drill": 0.09999999999999999,
+        "min_silk_clearance": 0.0,
+        "min_through_hole_diameter": 0.3,
+        "min_track_width": 0.19999999999999998,
+        "min_via_annular_width": 0.049999999999999996,
+        "min_via_diameter": 0.39999999999999997,
+        "solder_mask_clearance": 0.0,
+        "solder_mask_min_width": 0.0,
+        "use_height_for_length_calcs": true
+      },
+      "track_widths": [
+        0.0,
+        0.15,
+        0.2,
+        0.3,
+        0.5,
+        0.75,
+        1.0
+      ],
+      "via_dimensions": [
+        {
+          "diameter": 0.0,
+          "drill": 0.0
+        },
+        {
+          "diameter": 0.6,
+          "drill": 0.3
+        }
+      ],
+      "zones_allow_external_fillets": false,
+      "zones_use_no_outline": true
+    },
+    "layer_presets": []
+  },
+  "boards": [],
+  "cvpcb": {
+    "equivalence_files": []
+  },
+  "erc": {
+    "erc_exclusions": [],
+    "meta": {
+      "version": 0
+    },
+    "pin_map": [
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        2,
+        0,
+        1,
+        0,
+        0,
+        1,
+        0,
+        2,
+        2,
+        2,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        1,
+        0,
+        1,
+        0,
+        1,
+        2
+      ],
+      [
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        1,
+        1,
+        2,
+        1,
+        1,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        1,
+        1,
+        1,
+        1,
+        1,
+        0,
+        1,
+        1,
+        1,
+        1,
+        1,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        1,
+        0,
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        2,
+        1,
+        2,
+        0,
+        0,
+        1,
+        0,
+        2,
+        2,
+        2,
+        2
+      ],
+      [
+        0,
+        2,
+        0,
+        1,
+        0,
+        0,
+        1,
+        0,
+        2,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        2,
+        1,
+        1,
+        0,
+        0,
+        1,
+        0,
+        2,
+        0,
+        0,
+        2
+      ],
+      [
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2
+      ]
+    ],
+    "rule_severities": {
+      "bus_definition_conflict": "error",
+      "bus_entry_needed": "error",
+      "bus_label_syntax": "error",
+      "bus_to_bus_conflict": "error",
+      "bus_to_net_conflict": "error",
+      "different_unit_footprint": "error",
+      "different_unit_net": "error",
+      "duplicate_reference": "error",
+      "duplicate_sheet_names": "error",
+      "extra_units": "error",
+      "global_label_dangling": "warning",
+      "hier_label_mismatch": "error",
+      "label_dangling": "error",
+      "lib_symbol_issues": "warning",
+      "multiple_net_names": "warning",
+      "net_not_bus_member": "warning",
+      "no_connect_connected": "warning",
+      "no_connect_dangling": "warning",
+      "pin_not_connected": "error",
+      "pin_not_driven": "error",
+      "pin_to_pin": "warning",
+      "power_pin_not_driven": "error",
+      "similar_labels": "warning",
+      "unannotated": "error",
+      "unit_value_mismatch": "error",
+      "unresolved_variable": "error",
+      "wire_dangling": "error"
+    }
+  },
+  "libraries": {
+    "pinned_footprint_libs": [],
+    "pinned_symbol_libs": []
+  },
+  "meta": {
+    "filename": "icE1usb-rs422.kicad_pro",
+    "version": 1
+  },
+  "net_settings": {
+    "classes": [
+      {
+        "bus_width": 12.0,
+        "clearance": 0.15,
+        "diff_pair_gap": 0.25,
+        "diff_pair_via_gap": 0.25,
+        "diff_pair_width": 0.2,
+        "line_style": 0,
+        "microvia_diameter": 0.3,
+        "microvia_drill": 0.1,
+        "name": "Default",
+        "pcb_color": "rgba(0, 0, 0, 0.000)",
+        "schematic_color": "rgba(0, 0, 0, 0.000)",
+        "track_width": 0.15,
+        "via_diameter": 0.6,
+        "via_drill": 0.3,
+        "wire_width": 6.0
+      }
+    ],
+    "meta": {
+      "version": 2
+    },
+    "net_colors": null
+  },
+  "pcbnew": {
+    "last_paths": {
+      "gencad": "",
+      "idf": "",
+      "netlist": "",
+      "specctra_dsn": "",
+      "step": "",
+      "vrml": ""
+    },
+    "page_layout_descr_file": ""
+  },
+  "schematic": {
+    "annotate_start_num": 0,
+    "drawing": {
+      "default_line_thickness": 6.0,
+      "default_text_size": 50.0,
+      "field_names": [],
+      "intersheets_ref_own_page": false,
+      "intersheets_ref_prefix": "",
+      "intersheets_ref_short": false,
+      "intersheets_ref_show": false,
+      "intersheets_ref_suffix": "",
+      "junction_size_choice": 3,
+      "label_size_ratio": 0.375,
+      "pin_symbol_size": 25.0,
+      "text_offset_ratio": 0.15
+    },
+    "legacy_lib_dir": "",
+    "legacy_lib_list": [],
+    "meta": {
+      "version": 1
+    },
+    "net_format_name": "",
+    "ngspice": {
+      "fix_include_paths": true,
+      "fix_passive_vals": false,
+      "meta": {
+        "version": 0
+      },
+      "model_mode": 0,
+      "workbook_filename": ""
+    },
+    "page_layout_descr_file": "",
+    "plot_directory": "./",
+    "spice_adjust_passive_values": false,
+    "spice_external_command": "spice \"%I\"",
+    "subpart_first_id": 65,
+    "subpart_id_separator": 0
+  },
+  "sheets": [
+    [
+      "e63e39d7-6ac0-4ffd-8aa3-1841a4541b55",
+      ""
+    ]
+  ],
+  "text_variables": {}
+}
diff --git a/hardware/icE1usb-rs422/icE1usb-rs422.kicad_sch b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_sch
new file mode 100644
index 0000000..5b75ccd
--- /dev/null
+++ b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_sch
@@ -0,0 +1,5389 @@
+(kicad_sch (version 20211123) (generator eeschema)
+
+  (uuid e63e39d7-6ac0-4ffd-8aa3-1841a4541b55)
+
+  (paper "A3")
+
+  (title_block
+    (title "icE1usb RS422 extension")
+    (date "2022-08-15")
+    (rev "0.1")
+  )
+
+  (lib_symbols
+    (symbol "74xGxx:74LVC2G125" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -2.54 3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "74LVC2G125" (id 1) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "Dual Buff Tri-State LVC CMOS" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Dual Buffer Tri-State, Low-Voltage CMOS" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "VSSOP*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "74LVC2G125_0_1"
+        (polyline
+          (pts
+            (xy -3.81 2.54)
+            (xy -3.81 -2.54)
+            (xy 2.54 0)
+            (xy -3.81 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (pin power_in line (at 1.27 -1.27 270) (length 0) hide
+          (name "GND" (effects (font (size 1.016 1.016))))
+          (number "4" (effects (font (size 1.016 1.016))))
+        )
+        (pin power_in line (at 1.27 1.27 90) (length 0) hide
+          (name "VCC" (effects (font (size 1.016 1.016))))
+          (number "8" (effects (font (size 1.016 1.016))))
+        )
+      )
+      (symbol "74LVC2G125_1_1"
+        (pin input inverted (at 0 5.08 270) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "1" (effects (font (size 1.016 1.016))))
+        )
+        (pin input line (at -7.62 0 0) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "2" (effects (font (size 1.016 1.016))))
+        )
+        (pin tri_state line (at 6.35 0 180) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "6" (effects (font (size 1.016 1.016))))
+        )
+      )
+      (symbol "74LVC2G125_2_1"
+        (pin tri_state line (at 6.35 0 180) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "3" (effects (font (size 1.016 1.016))))
+        )
+        (pin input line (at -7.62 0 0) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "5" (effects (font (size 1.016 1.016))))
+        )
+        (pin input inverted (at 0 5.08 270) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "7" (effects (font (size 1.016 1.016))))
+        )
+      )
+    )
+    (symbol "Connector_Generic:Conn_02x04_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "J" (id 0) (at 1.27 5.08 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "Conn_02x04_Odd_Even" (id 1) (at 1.27 -7.62 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Generic connector, double row, 02x04, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Conn_02x04_Odd_Even_1_1"
+        (rectangle (start -1.27 -4.953) (end 0 -5.207)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 -2.413) (end 0 -2.667)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 0.127) (end 0 -0.127)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 2.667) (end 0 2.413)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 3.81) (end 3.81 -6.35)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (rectangle (start 3.81 -4.953) (end 2.54 -5.207)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 3.81 -2.413) (end 2.54 -2.667)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 3.81 0.127) (end 2.54 -0.127)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 3.81 2.667) (end 2.54 2.413)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (pin passive line (at -5.08 2.54 0) (length 3.81)
+          (name "Pin_1" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 2.54 180) (length 3.81)
+          (name "Pin_2" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at -5.08 0 0) (length 3.81)
+          (name "Pin_3" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 0 180) (length 3.81)
+          (name "Pin_4" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at -5.08 -2.54 0) (length 3.81)
+          (name "Pin_5" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 -2.54 180) (length 3.81)
+          (name "Pin_6" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at -5.08 -5.08 0) (length 3.81)
+          (name "Pin_7" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 -5.08 180) (length 3.81)
+          (name "Pin_8" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:C_Polarized_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "C_Polarized_Small" (id 1) (at 0.254 -2.032 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Polarized capacitor, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "CP_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "C_Polarized_Small_0_1"
+        (rectangle (start -1.524 -0.3048) (end 1.524 -0.6858)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (rectangle (start -1.524 0.6858) (end 1.524 0.3048)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 1.524)
+            (xy -0.762 1.524)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.016 1.27)
+            (xy -1.016 1.778)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "C_Polarized_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 1.8542)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 1.8542)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "C_Small" (id 1) (at 0.254 -2.032 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "C_Small_0_1"
+        (polyline
+          (pts
+            (xy -1.524 -0.508)
+            (xy 1.524 -0.508)
+          )
+          (stroke (width 0.3302) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.524 0.508)
+            (xy 1.524 0.508)
+          )
+          (stroke (width 0.3048) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "C_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 2.032)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 2.032)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:Polyfuse_Small" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "F" (id 0) (at -1.905 0 90)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "Polyfuse_Small" (id 1) (at 1.905 0 90)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 1.27 -5.08 0)
+        (effects (font (size 1.27 1.27)) (justify left) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "resettable fuse PTC PPTC polyfuse polyswitch" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Resettable fuse, polymeric positive temperature coefficient, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "*polyfuse* *PTC*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Polyfuse_Small_0_1"
+        (rectangle (start -0.508 1.27) (end 0.508 -1.27)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0 -2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.016 1.27)
+            (xy -1.016 0.762)
+            (xy 1.016 -0.762)
+            (xy 1.016 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "Polyfuse_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 0.635)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 0.635)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "R" (id 0) (at 0.762 0.508 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "R_Small" (id 1) (at 0.762 -1.016 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "R resistor" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "R_Small_0_1"
+        (rectangle (start -0.762 1.778) (end 0.762 -1.778)
+          (stroke (width 0.2032) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "R_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 0.762)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 0.762)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Interface_Expansion:TCA9534" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.35 12.7 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "TCA9534" (id 1) (at 2.54 12.7 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 24.13 -13.97 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tca9534.pdf" (id 3) (at 2.54 -2.54 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "SMBUS I2C Expander" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "8 Bit Port/Expander, I2C SMBUS, Interrupt output, TSSOP-16, SOIC-16" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "TSSOP*4.4x5mm*P0.65mm* SOIC*7.5x10.3mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "TCA9534_0_1"
+        (rectangle (start -6.35 -13.97) (end 6.35 11.43)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+      )
+      (symbol "TCA9534_1_1"
+        (pin input line (at -10.16 -5.08 0) (length 3.81)
+          (name "A0" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -5.08 180) (length 3.81)
+          (name "P5" (effects (font (size 1.27 1.27))))
+          (number "10" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -7.62 180) (length 3.81)
+          (name "P6" (effects (font (size 1.27 1.27))))
+          (number "11" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -10.16 180) (length 3.81)
+          (name "P7" (effects (font (size 1.27 1.27))))
+          (number "12" (effects (font (size 1.27 1.27))))
+        )
+        (pin open_collector line (at -10.16 2.54 0) (length 3.81)
+          (name "~{INT}" (effects (font (size 1.27 1.27))))
+          (number "13" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 7.62 0) (length 3.81)
+          (name "SCL" (effects (font (size 1.27 1.27))))
+          (number "14" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at -10.16 5.08 0) (length 3.81)
+          (name "SDA" (effects (font (size 1.27 1.27))))
+          (number "15" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 15.24 270) (length 3.81)
+          (name "VDD" (effects (font (size 1.27 1.27))))
+          (number "16" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -7.62 0) (length 3.81)
+          (name "A1" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -10.16 0) (length 3.81)
+          (name "A2" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 7.62 180) (length 3.81)
+          (name "P0" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 5.08 180) (length 3.81)
+          (name "P1" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 2.54 180) (length 3.81)
+          (name "P2" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 0 180) (length 3.81)
+          (name "P3" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -17.78 90) (length 3.81)
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -2.54 180) (length 3.81)
+          (name "P4" (effects (font (size 1.27 1.27))))
+          (number "9" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Memory_EEPROM:M24C02-FMN" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.35 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "M24C02-FMN" (id 1) (at 7.62 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 8.89 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/b0/d8/50/40/5a/85/49/6f/DM00071904.pdf/files/DM00071904.pdf/jcr:content/translations/en.DM00071904.pdf" (id 3) (at 1.27 -12.7 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "Nonvolatile Non-Volatile Memory ROM ST" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "2Kb (256x8) I2C Serial EEPROM, 1.6-5.5V, SOIC-8" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "M24C02-FMN_0_1"
+        (rectangle (start -7.62 5.08) (end 7.62 -5.08)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+      )
+      (symbol "M24C02-FMN_1_1"
+        (pin input line (at -10.16 2.54 0) (length 2.54)
+          (name "E0" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 0 0) (length 2.54)
+          (name "E1" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -2.54 0) (length 2.54)
+          (name "E2" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -7.62 90) (length 2.54)
+          (name "VSS" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
+          (name "SDA" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 0 180) (length 2.54)
+          (name "SCL" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 -2.54 180) (length 2.54)
+          (name "~{WC}" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 7.62 270) (length 2.54)
+          (name "VCC" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Regulator_Linear:MC78M05_TO252" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -3.81 3.175 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "MC78M05_TO252" (id 1) (at 0 3.175 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "Package_TO_SOT_SMD:TO-252-2" (id 2) (at 0 5.715 0)
+        (effects (font (size 1.27 1.27) italic) hide)
+      )
+      (property "Datasheet" "https://www.onsemi.com/pub/Collateral/MC78M00-D.PDF" (id 3) (at 0 -1.27 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "Voltage Regulator 500mA Positive" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Positive 500mA 35V Linear Regulator, Fixed Output 5V, TO-252 (D-PAK)" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "TO?252*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "MC78M05_TO252_0_1"
+        (rectangle (start -5.08 1.905) (end 5.08 -5.08)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+      )
+      (symbol "MC78M05_TO252_1_1"
+        (pin power_in line (at -7.62 0 0) (length 2.54)
+          (name "VI" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -7.62 90) (length 2.54)
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_out line (at 7.62 0 180) (length 2.54)
+          (name "VO" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "icE1usb-rs422:SP485" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.096 11.43 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "SP485" (id 1) (at 0.762 11.43 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 -17.78 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 0 1.27 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "rs485 rs422" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Low Power Half-Duplex RS-485 Transceivers" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "SP485_0_1"
+        (rectangle (start -7.62 10.16) (end 7.62 -12.7)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (circle (center -0.3048 -3.683) (radius 0.3556)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (circle (center -0.0254 1.4986) (radius 0.3556)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (polyline
+          (pts
+            (xy -4.064 -5.08)
+            (xy -1.905 -5.08)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -4.064 2.54)
+            (xy -1.27 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 -3.2004)
+            (xy -1.27 -3.4544)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -0.635 -5.08)
+            (xy 5.334 -5.08)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -4.064 -2.54)
+            (xy -1.27 -2.54)
+            (xy -1.27 -3.175)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 1.27)
+            (xy 0 0)
+            (xy -4.064 0)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 3.175)
+            (xy 3.81 3.175)
+            (xy 3.81 -5.08)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 2.54 1.905)
+            (xy 2.54 -3.81)
+            (xy 0 -3.81)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.905 -3.175)
+            (xy -1.905 -5.715)
+            (xy 0.635 -4.445)
+            (xy -1.905 -3.175)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 2.54)
+            (xy 1.27 3.81)
+            (xy 1.27 1.27)
+            (xy -1.27 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 1.905)
+            (xy 4.445 1.905)
+            (xy 4.445 2.54)
+            (xy 5.334 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 1.27 3.175) (end 1.27 3.175)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (circle (center 1.651 1.905) (radius 0.3556)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+      )
+      (symbol "SP485_1_1"
+        (pin output line (at -10.16 2.54 0) (length 2.54)
+          (name "RO" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 0 0) (length 2.54)
+          (name "~{RE}" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -2.54 0) (length 2.54)
+          (name "DE" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -5.08 0) (length 2.54)
+          (name "DI" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -15.24 90) (length 2.54)
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -5.08 180) (length 2.54)
+          (name "A" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
+          (name "B" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 12.7 270) (length 2.54)
+          (name "VCC" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "icE1usb-rs422:Si8400" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.35 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "Si8400" (id 1) (at 3.81 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 -8.89 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/Si840x.pdf" (id 3) (at 0 1.27 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "digital isolator i2c" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "I2C Isolator, 2.5 kVrms, Bidirectional clock and data, SOIC-8" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Si8400_0_1"
+        (rectangle (start -7.62 5.08) (end 7.62 -7.62)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (rectangle (start 0 -5.08) (end 0 -6.35)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 -2.54)
+            (xy 0 -3.81)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 5.08)
+            (xy 0 3.81)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -0.635 0.635)
+            (xy -1.27 0)
+            (xy -0.635 -0.635)
+            (xy -0.635 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (polyline
+          (pts
+            (xy 0.635 -1.905)
+            (xy 1.27 -2.54)
+            (xy 0.635 -3.175)
+            (xy 0.635 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (polyline
+          (pts
+            (xy 0.635 0.635)
+            (xy 1.27 0)
+            (xy 0.635 -0.635)
+            (xy 0.635 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+      )
+      (symbol "Si8400_1_1"
+        (polyline
+          (pts
+            (xy -0.635 -1.905)
+            (xy -1.27 -2.54)
+            (xy -0.635 -3.175)
+            (xy -0.635 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (pin power_in line (at -10.16 2.54 0) (length 2.54)
+          (name "VCC1" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at -10.16 0 0) (length 2.54)
+          (name "SDA1" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at -10.16 -2.54 0) (length 2.54)
+          (name "SCL1" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at -10.16 -5.08 0) (length 2.54)
+          (name "GND1" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 10.16 -5.08 180) (length 2.54)
+          (name "GND2" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -2.54 180) (length 2.54)
+          (name "SCL2" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 0 180) (length 2.54)
+          (name "SDA2" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 10.16 2.54 180) (length 2.54)
+          (name "VCC2" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "icE1usb-rs422:Si8663" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at 8.255 14.605 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "Si8663" (id 1) (at 8.255 12.065 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (id 2) (at 5.715 -13.97 0)
+        (effects (font (size 1.27 1.27)) (justify left) hide)
+      )
+      (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/si866x.pdf" (id 3) (at 10.16 -2.54 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "6 channel digital isolator" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Low Power Six-Channel Digital Isolator" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "SSOP*3.9x4.9mm*P0.635mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Si8663_0_1"
+        (rectangle (start -7.62 15.24) (end 7.62 -12.7)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (polyline
+          (pts
+            (xy -0.635 15.24)
+            (xy -0.635 -12.7)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0.635 15.24)
+            (xy 0.635 -12.7)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.905 8.255)
+            (xy -1.27 7.62)
+            (xy -1.905 6.985)
+            (xy -1.905 8.255)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 8.255)
+            (xy 1.905 7.62)
+            (xy 1.27 6.985)
+            (xy 1.27 8.255)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "Si8663_1_1"
+        (polyline
+          (pts
+            (xy -1.905 3.175)
+            (xy -1.27 2.54)
+            (xy -1.905 1.905)
+            (xy -1.905 3.175)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.905 5.715)
+            (xy -1.27 5.08)
+            (xy -1.905 4.445)
+            (xy -1.905 5.715)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 -4.445)
+            (xy -1.905 -5.08)
+            (xy -1.27 -5.715)
+            (xy -1.27 -4.445)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 -1.905)
+            (xy -1.905 -2.54)
+            (xy -1.27 -3.175)
+            (xy -1.27 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 0.635)
+            (xy -1.905 0)
+            (xy -1.27 -0.635)
+            (xy -1.27 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 3.175)
+            (xy 1.905 2.54)
+            (xy 1.27 1.905)
+            (xy 1.27 3.175)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 5.715)
+            (xy 1.905 5.08)
+            (xy 1.27 4.445)
+            (xy 1.27 5.715)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 -4.445)
+            (xy 1.27 -5.08)
+            (xy 1.905 -5.715)
+            (xy 1.905 -4.445)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 -1.905)
+            (xy 1.27 -2.54)
+            (xy 1.905 -3.175)
+            (xy 1.905 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 0.635)
+            (xy 1.27 0)
+            (xy 1.905 -0.635)
+            (xy 1.905 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (pin power_in line (at -5.08 17.78 270) (length 2.54)
+          (name "Vcc1" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 -5.08 180) (length 2.54)
+          (name "INF" (effects (font (size 1.27 1.27))))
+          (number "10" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 -2.54 180) (length 2.54)
+          (name "INE" (effects (font (size 1.27 1.27))))
+          (number "11" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 0 180) (length 2.54)
+          (name "IND" (effects (font (size 1.27 1.27))))
+          (number "12" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at 10.16 2.54 180) (length 2.54)
+          (name "OUTC" (effects (font (size 1.27 1.27))))
+          (number "13" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at 10.16 5.08 180) (length 2.54)
+          (name "OUTB" (effects (font (size 1.27 1.27))))
+          (number "14" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at 10.16 7.62 180) (length 2.54)
+          (name "OUTA" (effects (font (size 1.27 1.27))))
+          (number "15" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 5.08 17.78 270) (length 2.54)
+          (name "Vcc2" (effects (font (size 1.27 1.27))))
+          (number "16" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 7.62 0) (length 2.54)
+          (name "INA" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 5.08 0) (length 2.54)
+          (name "INB" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 2.54 0) (length 2.54)
+          (name "INC" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at -10.16 0 0) (length 2.54)
+          (name "OUTD" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at -10.16 -2.54 0) (length 2.54)
+          (name "OUTE" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at -10.16 -5.08 0) (length 2.54)
+          (name "OUTF" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at -5.08 -15.24 90) (length 2.54)
+          (name "GND1" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 5.08 -15.24 90) (length 2.54)
+          (name "GND2" (effects (font (size 1.27 1.27))))
+          (number "9" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:+12VA" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "+12VA" (id 1) (at 0 3.556 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"+12VA\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "+12VA_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "+12VA_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "+12VA" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "+3V3" (id 1) (at 0 3.556 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "+3V3_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "+3V3_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "+3V3" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:+5VA" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "+5VA" (id 1) (at 0 3.556 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"+5VA\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "+5VA_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "+5VA_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "+5VA" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "GND" (id 1) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "GND_0_1"
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 -1.27)
+            (xy 1.27 -1.27)
+            (xy 0 -2.54)
+            (xy -1.27 -1.27)
+            (xy 0 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "GND_1_1"
+        (pin power_in line (at 0 0 270) (length 0) hide
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:GNDA" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "GNDA" (id 1) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"GNDA\" , analog ground" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "GNDA_0_1"
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 -1.27)
+            (xy 1.27 -1.27)
+            (xy 0 -2.54)
+            (xy -1.27 -1.27)
+            (xy 0 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "GNDA_1_1"
+        (pin power_in line (at 0 0 270) (length 0) hide
+          (name "GNDA" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:VCC" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "VCC" (id 1) (at 0 3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"VCC\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "VCC_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "VCC_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "VCC" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+  )
+
+  (junction (at 83.82 172.72) (diameter 0) (color 0 0 0 0)
+    (uuid 033d635b-cfcf-4280-a110-17a619ed6661)
+  )
+  (junction (at 287.02 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid 04aa7956-3bb1-42a0-a257-80cd3414743d)
+  )
+  (junction (at 299.72 163.83) (diameter 0) (color 0 0 0 0)
+    (uuid 07f312df-6e09-44d4-b325-64d795ebf31d)
+  )
+  (junction (at 307.34 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid 0d304acb-721d-4509-bd6e-0a7cac276d73)
+  )
+  (junction (at 116.84 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 0d89af96-9a36-4b0c-b1ae-a996e26a65d3)
+  )
+  (junction (at 40.64 160.02) (diameter 0) (color 0 0 0 0)
+    (uuid 12a2a03e-9fe2-4aad-87e8-d9f0251e53fc)
+  )
+  (junction (at 116.84 170.18) (diameter 0) (color 0 0 0 0)
+    (uuid 150a8b2b-a2b8-48e5-bd61-935a3e844c2a)
+  )
+  (junction (at 73.66 134.62) (diameter 0) (color 0 0 0 0)
+    (uuid 2074bbd4-cf9a-44bf-a98e-ff619e47307f)
+  )
+  (junction (at 119.38 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 23491fd3-8824-49f8-a550-fb5a20c9ddb1)
+  )
+  (junction (at 147.32 93.98) (diameter 0) (color 0 0 0 0)
+    (uuid 26ec8156-e41f-40c1-9e87-b99878e3b75d)
+  )
+  (junction (at 205.74 157.48) (diameter 0) (color 0 0 0 0)
+    (uuid 34012e88-e075-4276-aa73-b51fd02e9a13)
+  )
+  (junction (at 299.72 171.45) (diameter 0) (color 0 0 0 0)
+    (uuid 3a6027e5-ae61-4ab8-a72e-dd911bf1c98a)
+  )
+  (junction (at 172.72 170.18) (diameter 0) (color 0 0 0 0)
+    (uuid 3deb4a78-e0ee-48ad-9a47-4afab0860724)
+  )
+  (junction (at 200.66 180.34) (diameter 0) (color 0 0 0 0)
+    (uuid 43974152-d4fe-4ae9-9503-f0cf50f5dcf9)
+  )
+  (junction (at 109.22 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 4a874d94-adc1-404a-be2c-18d2eb6b6b4f)
+  )
+  (junction (at 299.72 74.93) (diameter 0) (color 0 0 0 0)
+    (uuid 4fc973f9-6c07-407f-bc4c-40329f06e4ca)
+  )
+  (junction (at 203.2 162.56) (diameter 0) (color 0 0 0 0)
+    (uuid 5e0b077d-99a7-447e-bb20-77cc40538a35)
+  )
+  (junction (at 83.82 68.58) (diameter 0) (color 0 0 0 0)
+    (uuid 666db899-e3e3-41fb-82a5-e5ceee01a42e)
+  )
+  (junction (at 203.2 160.02) (diameter 0) (color 0 0 0 0)
+    (uuid 67e43de7-1f1b-40dc-af5e-b186638d3a8c)
+  )
+  (junction (at 200.66 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 68e4fa55-d849-4553-ae80-887a8c24bb8a)
+  )
+  (junction (at 109.22 167.64) (diameter 0) (color 0 0 0 0)
+    (uuid 70bacdb9-96b8-49e3-99bc-a8dddfd9364c)
+  )
+  (junction (at 40.64 157.48) (diameter 0) (color 0 0 0 0)
+    (uuid 86183659-3b16-402d-9b7a-25a261795719)
+  )
+  (junction (at 266.7 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid 880cadfb-ca12-4155-a6d9-46b3d2647d8f)
+  )
+  (junction (at 299.72 118.11) (diameter 0) (color 0 0 0 0)
+    (uuid 8acf80b0-ba53-43b8-9b14-0abed205c980)
+  )
+  (junction (at 119.38 157.48) (diameter 0) (color 0 0 0 0)
+    (uuid 9020a0ea-2bc3-43d4-87eb-56b8729f1fa9)
+  )
+  (junction (at 203.2 180.34) (diameter 0) (color 0 0 0 0)
+    (uuid 950b2cb3-cab7-4c50-8c32-8e5f83953a9f)
+  )
+  (junction (at 71.12 132.08) (diameter 0) (color 0 0 0 0)
+    (uuid 9617e266-58f5-4af5-bd36-89934827c1c7)
+  )
+  (junction (at 114.3 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid b12385dc-5651-4042-ab1d-e8ba60b7ebec)
+  )
+  (junction (at 121.92 154.94) (diameter 0) (color 0 0 0 0)
+    (uuid b22e1dc1-2c64-418f-9808-f5e137f089ca)
+  )
+  (junction (at 299.72 67.31) (diameter 0) (color 0 0 0 0)
+    (uuid b562a15d-7d69-4954-b76e-2a17e4ab1212)
+  )
+  (junction (at 200.66 167.64) (diameter 0) (color 0 0 0 0)
+    (uuid b7eace78-931b-4286-89fb-a030f6be500b)
+  )
+  (junction (at 154.94 53.34) (diameter 0) (color 0 0 0 0)
+    (uuid b841862a-c28a-43ce-b298-1937287f4bc8)
+  )
+  (junction (at 111.76 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid b9b0ba26-6892-4e9d-bb39-a85ef8c4bdd2)
+  )
+  (junction (at 317.5 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid baf29609-b179-4013-85f5-2e81482cc450)
+  )
+  (junction (at 198.12 165.1) (diameter 0) (color 0 0 0 0)
+    (uuid cbac0594-63ce-4765-92da-f4c3084f180f)
+  )
+  (junction (at 154.94 73.66) (diameter 0) (color 0 0 0 0)
+    (uuid d08eef33-fa10-400e-9338-397a40cad3d5)
+  )
+  (junction (at 111.76 165.1) (diameter 0) (color 0 0 0 0)
+    (uuid d1ac1847-88a8-43e5-966f-14592c6f1dce)
+  )
+  (junction (at 81.28 132.08) (diameter 0) (color 0 0 0 0)
+    (uuid d2404de0-0d7d-4031-a792-578f894da232)
+  )
+  (junction (at 299.72 125.73) (diameter 0) (color 0 0 0 0)
+    (uuid d84aedac-90ee-46b0-bd69-72caca73df20)
+  )
+  (junction (at 203.2 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid da8b33c3-8445-4300-8c49-e8a29ea3340e)
+  )
+  (junction (at 205.74 154.94) (diameter 0) (color 0 0 0 0)
+    (uuid df4a79d9-0b4f-45e2-b33f-e8d3f8228eb0)
+  )
+  (junction (at 114.3 172.72) (diameter 0) (color 0 0 0 0)
+    (uuid e07da3ae-5621-4db3-94c2-d99632e4b0b2)
+  )
+  (junction (at 276.86 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid f0ac63fe-7483-4ab3-8425-5a4029abbc87)
+  )
+  (junction (at 83.82 134.62) (diameter 0) (color 0 0 0 0)
+    (uuid f74b9382-e68c-4ae4-8f5a-1eb7f94a94c1)
+  )
+  (junction (at 172.72 172.72) (diameter 0) (color 0 0 0 0)
+    (uuid fa4ed3f0-ab09-44f0-a5cb-4bd08de17de3)
+  )
+
+  (no_connect (at 86.36 160.02) (uuid 5a87845d-adaf-476c-bb93-f13ba369be65))
+  (no_connect (at 175.26 160.02) (uuid 5a87845d-adaf-476c-bb93-f13ba369be66))
+  (no_connect (at 68.58 76.2) (uuid cd3b8863-24a3-4de1-a349-ad9789c10618))
+  (no_connect (at 106.68 162.56) (uuid cd3b8863-24a3-4de1-a349-ad9789c10619))
+  (no_connect (at 106.68 160.02) (uuid cd3b8863-24a3-4de1-a349-ad9789c1061a))
+  (no_connect (at 195.58 170.18) (uuid cd3b8863-24a3-4de1-a349-ad9789c1061b))
+  (no_connect (at 195.58 172.72) (uuid cd3b8863-24a3-4de1-a349-ad9789c1061c))
+
+  (wire (pts (xy 73.66 157.48) (xy 73.66 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0019b268-5761-4278-8967-7d2a119ea6a2)
+  )
+  (wire (pts (xy 200.66 152.4) (xy 200.66 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0126c82d-c055-4361-97d9-0dcb80484535)
+  )
+  (wire (pts (xy 297.18 163.83) (xy 299.72 163.83))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 01f312ed-3377-4bc9-a66b-8ae49869ce76)
+  )
+  (wire (pts (xy 160.02 58.42) (xy 172.72 58.42))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 02ab1503-a9cb-4608-937c-4b03c9b62ffb)
+  )
+  (wire (pts (xy 198.12 76.2) (xy 200.66 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 03cd0772-b32f-452d-bbea-5568701c75c8)
+  )
+  (wire (pts (xy 195.58 83.82) (xy 195.58 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 04b03474-6ff2-4a86-bf23-25facf545676)
+  )
+  (wire (pts (xy 266.7 218.44) (xy 266.7 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 06381dc8-cfd3-4aeb-b8c1-29e514c40236)
+  )
+  (wire (pts (xy 78.74 218.44) (xy 78.74 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0686b2bb-99bf-418f-8e08-11d72e656be1)
+  )
+  (wire (pts (xy 266.7 168.91) (xy 276.86 168.91))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 06ff741f-5530-44c0-931c-572344a5c3d2)
+  )
+  (wire (pts (xy 83.82 76.2) (xy 83.82 78.74))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 09342f7b-565e-41dc-b612-e79a13046ed9)
+  )
+  (wire (pts (xy 193.04 63.5) (xy 193.04 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0c35f379-51fb-490c-b231-984ff5529f84)
+  )
+  (wire (pts (xy 297.18 67.31) (xy 299.72 67.31))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0cfaadbb-34a8-4124-b3a7-8fe1fddd40d6)
+  )
+  (wire (pts (xy 307.34 226.06) (xy 307.34 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0eac97fa-1245-4ae6-a7ea-2566a0d4f843)
+  )
+  (wire (pts (xy 347.98 124.46) (xy 353.06 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0ee01a56-325d-4204-a2d7-3f9669e6ac39)
+  )
+  (wire (pts (xy 299.72 124.46) (xy 299.72 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0ff43aa2-cd7e-4e93-9eae-995fc8a09671)
+  )
+  (wire (pts (xy 332.74 121.92) (xy 342.9 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 107617d3-0500-4822-b33a-a3b5c3e74774)
+  )
+  (wire (pts (xy 88.9 226.06) (xy 88.9 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 108b1d45-c355-4cf7-833c-3a7216618003)
+  )
+  (wire (pts (xy 373.38 124.46) (xy 381 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 119a62f3-7be0-45aa-8184-b7489e74e4fa)
+  )
+  (wire (pts (xy 114.3 172.72) (xy 134.62 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 12333a58-f39a-4ae4-b3d1-b108ca29f80d)
+  )
+  (wire (pts (xy 299.72 73.66) (xy 299.72 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1358e870-6525-495b-b1f3-96943cb792bf)
+  )
+  (wire (pts (xy 350.52 129.54) (xy 353.06 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 14d703dd-179b-41af-8997-1457e9639fa7)
+  )
+  (wire (pts (xy 116.84 152.4) (xy 116.84 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1535a28b-3ee1-4988-a66e-0241b7537fa2)
+  )
+  (wire (pts (xy 81.28 73.66) (xy 88.9 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 184a88db-b3ee-4f40-8897-61388825d767)
+  )
+  (wire (pts (xy 276.86 218.44) (xy 287.02 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1965c5ce-f08a-46d7-aefc-8e606a4b5c8e)
+  )
+  (wire (pts (xy 83.82 134.62) (xy 83.82 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1cf74baf-495c-4f9f-96b5-1c32daf5469a)
+  )
+  (wire (pts (xy 88.9 218.44) (xy 88.9 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1e1d50e9-606e-4506-b35b-529406befa32)
+  )
+  (wire (pts (xy 172.72 134.62) (xy 162.56 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1f78f1e0-bddf-4f25-bd76-644b12b412bd)
+  )
+  (wire (pts (xy 162.56 132.08) (xy 170.18 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 20020cb8-6931-42ca-a04e-5de0128a5453)
+  )
+  (wire (pts (xy 307.34 218.44) (xy 307.34 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2058c5a3-d427-42ef-bc69-88039730ac3a)
+  )
+  (wire (pts (xy 119.38 226.06) (xy 119.38 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 21aed6e7-70d5-49fc-a9e9-d265a98133ef)
+  )
+  (wire (pts (xy 266.7 72.39) (xy 276.86 72.39))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 222955bc-0868-40e0-9793-facd125b0096)
+  )
+  (wire (pts (xy 200.66 180.34) (xy 200.66 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 243a0a7c-36c4-43db-af41-7483b63de691)
+  )
+  (wire (pts (xy 287.02 181.61) (xy 287.02 184.15))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 249bd074-740a-4fc9-bf55-b19037657b63)
+  )
+  (wire (pts (xy 205.74 157.48) (xy 215.9 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 24d576e8-b4a4-4655-98ba-a3fc19cba83b)
+  )
+  (wire (pts (xy 119.38 144.78) (xy 121.92 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 257f64fa-d9c6-4a6f-94d9-4cf78bfde22a)
+  )
+  (wire (pts (xy 215.9 86.36) (xy 215.9 88.9))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 275b8b28-b5d6-4486-a545-274a0deef044)
+  )
+  (wire (pts (xy 287.02 85.09) (xy 287.02 87.63))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2782e95a-bc3f-4d27-adc5-0602fe7ac8a9)
+  )
+  (wire (pts (xy 162.56 137.16) (xy 165.1 137.16))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 29ba8cf3-fd33-4afe-8b59-20f7847d00cc)
+  )
+  (wire (pts (xy 297.18 226.06) (xy 297.18 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 29f59706-3f6e-4d18-b4d1-fa27eb7ee7e1)
+  )
+  (wire (pts (xy 119.38 144.78) (xy 119.38 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2a1c7ac4-a0c8-498e-9a38-5992850bdd34)
+  )
+  (wire (pts (xy 266.7 123.19) (xy 276.86 123.19))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2ac99319-5d1b-46ff-8781-38fd446c320b)
+  )
+  (wire (pts (xy 266.7 69.85) (xy 276.86 69.85))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2c79a206-9bdf-45a7-9421-77b9e115689c)
+  )
+  (wire (pts (xy 40.64 157.48) (xy 40.64 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2da42330-aa05-4298-94d1-23f149b4cfaa)
+  )
+  (wire (pts (xy 81.28 132.08) (xy 142.24 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2e14bb30-01b5-4051-9c7d-4e94488eedc7)
+  )
+  (wire (pts (xy 365.76 127) (xy 368.3 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2e8eade1-5b00-4db1-82f0-8489c7058e7b)
+  )
+  (wire (pts (xy 111.76 165.1) (xy 134.62 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2f3aad8d-3613-4a20-99ef-799b442e3793)
+  )
+  (wire (pts (xy 172.72 172.72) (xy 172.72 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 309de43a-bdbd-49f5-807b-e92a4006acd1)
+  )
+  (wire (pts (xy 68.58 218.44) (xy 68.58 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3150dc38-2c4a-4c01-af7d-ec73f120a6f9)
+  )
+  (wire (pts (xy 154.94 83.82) (xy 163.83 83.82))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 31c4e413-bffa-4bae-a3b2-b1f8dc7888c7)
+  )
+  (wire (pts (xy 307.34 218.44) (xy 317.5 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 31db5e25-4e3a-40f4-b398-0a870da0075d)
+  )
+  (wire (pts (xy 347.98 121.92) (xy 353.06 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 31e4db19-1130-4019-9b4f-9a7b2e2a5bff)
+  )
+  (wire (pts (xy 373.38 127) (xy 381 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3300ddf2-ef48-4b41-801a-9737c7b7668a)
+  )
+  (wire (pts (xy 81.28 71.12) (xy 88.9 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 338e2ec7-752a-4b48-804c-632c3b8175eb)
+  )
+  (wire (pts (xy 337.82 215.9) (xy 337.82 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 354659cc-030b-47f5-b215-b8eafed439bd)
+  )
+  (wire (pts (xy 299.72 67.31) (xy 312.42 67.31))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3601ceff-244e-4a98-8ec3-d225897036b4)
+  )
+  (wire (pts (xy 388.62 226.06) (xy 388.62 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 361487f4-c9a6-43fc-bfba-f0dea1a735b3)
+  )
+  (wire (pts (xy 198.12 165.1) (xy 215.9 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 36c12f81-fc50-4a8d-b1a8-99fd46bb00d6)
+  )
+  (wire (pts (xy 81.28 68.58) (xy 83.82 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 37625fd4-30e1-4fb8-a007-d6221562b962)
+  )
+  (wire (pts (xy 114.3 144.78) (xy 114.3 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 38724de0-66fb-4977-8881-9ed72d773165)
+  )
+  (wire (pts (xy 71.12 132.08) (xy 81.28 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 38a7ee5f-455a-418f-9186-2df8c28fda7b)
+  )
+  (wire (pts (xy 185.42 142.24) (xy 185.42 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3a27b571-8da0-4225-9380-cce3880b153b)
+  )
+  (wire (pts (xy 160.02 48.26) (xy 172.72 48.26))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3afc2af1-4722-49d3-828c-224423c9af56)
+  )
+  (wire (pts (xy 172.72 154.94) (xy 172.72 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3b1c4430-ee39-442b-a43f-8d185ab40f9f)
+  )
+  (wire (pts (xy 109.22 144.78) (xy 111.76 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3ca4ce2c-a62d-435e-84c8-e1535e734711)
+  )
+  (wire (pts (xy 83.82 170.18) (xy 86.36 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3dc3e596-3a09-47d8-9bbc-a5745876a267)
+  )
+  (wire (pts (xy 116.84 144.78) (xy 119.38 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3e2844d9-e5d5-4974-89c3-09a823bbe6d9)
+  )
+  (wire (pts (xy 205.74 177.8) (xy 205.74 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3f97728b-ff73-40a1-8c0f-ccd195330cf5)
+  )
+  (wire (pts (xy 195.58 162.56) (xy 203.2 162.56))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3fbfdd0a-011c-47a2-8f43-1f4eb66bcd3b)
+  )
+  (wire (pts (xy 170.18 132.08) (xy 170.18 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 41fb60d7-3af7-4730-9934-05829de504f9)
+  )
+  (wire (pts (xy 200.66 144.78) (xy 200.66 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4455e184-7acb-4e8d-b4c5-6e0d8acddc9a)
+  )
+  (wire (pts (xy 53.34 165.1) (xy 53.34 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 447ed509-9a86-4e17-9dcc-78102e104e40)
+  )
+  (wire (pts (xy 317.5 218.44) (xy 317.5 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 44ced6cd-989d-4bd4-a78b-1e5a0fdd4766)
+  )
+  (wire (pts (xy 106.68 157.48) (xy 119.38 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 45049dfa-bf1f-47a0-aba7-f1a652d07f4f)
+  )
+  (wire (pts (xy 299.72 118.11) (xy 312.42 118.11))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 451a2a7a-e605-44e2-abd8-a58dbabd94cd)
+  )
+  (wire (pts (xy 220.98 68.58) (xy 231.14 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4617f0ef-a714-48f8-8284-eff4c6b7e5be)
+  )
+  (wire (pts (xy 203.2 144.78) (xy 203.2 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 479919a0-c0f3-4040-b569-8ee067abea85)
+  )
+  (wire (pts (xy 365.76 129.54) (xy 368.3 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 47d4e9bf-0a57-416a-81f5-830858d406bb)
+  )
+  (wire (pts (xy 154.94 43.18) (xy 165.1 43.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 49de7cdb-58bc-470c-9f04-5e3c7cab1c23)
+  )
+  (wire (pts (xy 175.26 154.94) (xy 172.72 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4c285bfb-7e49-4549-afe8-4a58f90609cf)
+  )
+  (wire (pts (xy 266.7 67.31) (xy 276.86 67.31))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4e66a611-9978-42d3-ad07-09000b413378)
+  )
+  (wire (pts (xy 203.2 162.56) (xy 215.9 162.56))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5015151b-6f4a-4a51-a897-e9aeeb5bc637)
+  )
+  (wire (pts (xy 109.22 152.4) (xy 109.22 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 508308f8-2aea-4941-9e8d-a659df28d5ae)
+  )
+  (wire (pts (xy 109.22 142.24) (xy 109.22 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 524cda9c-c176-4348-987b-acb90acf8c6d)
+  )
+  (wire (pts (xy 215.9 50.8) (xy 215.9 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 52c6e302-30b8-4ee5-b611-ba0016fef9b1)
+  )
+  (wire (pts (xy 332.74 124.46) (xy 342.9 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 53676938-b607-415d-b757-650f7ed282f8)
+  )
+  (wire (pts (xy 266.7 215.9) (xy 266.7 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 53ad18ac-7682-4b17-a5c0-97f2578b02bd)
+  )
+  (wire (pts (xy 287.02 218.44) (xy 287.02 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 54354171-99b6-4afb-8a86-768d536a4672)
+  )
+  (wire (pts (xy 193.04 71.12) (xy 193.04 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 55129c16-2aba-4b07-9478-b947a9143697)
+  )
+  (wire (pts (xy 185.42 180.34) (xy 185.42 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 57140d08-fbc9-4a29-a3d8-62deeca5f509)
+  )
+  (wire (pts (xy 195.58 160.02) (xy 203.2 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5800e9bc-eb4c-4fc9-bb4f-48aa24e2042c)
+  )
+  (wire (pts (xy 195.58 157.48) (xy 205.74 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 595e794a-8a58-4537-8d9a-fbc99968d827)
+  )
+  (wire (pts (xy 266.7 218.44) (xy 276.86 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5d34d6ed-7bd5-48f1-b851-7c0eda6577e6)
+  )
+  (wire (pts (xy 43.18 154.94) (xy 40.64 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5df4c161-e689-4176-8930-3e4360467387)
+  )
+  (wire (pts (xy 200.66 177.8) (xy 200.66 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5e4a9aaf-96c7-42ae-9f6d-c0daf02a5e67)
+  )
+  (wire (pts (xy 58.42 68.58) (xy 68.58 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 65c100bf-2542-4d7b-a01a-a0e4b0274bc8)
+  )
+  (wire (pts (xy 200.66 63.5) (xy 198.12 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 67176465-6800-40a0-afdb-1752377b65f8)
+  )
+  (wire (pts (xy 134.62 93.98) (xy 147.32 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 67f6a49f-61c2-41cb-87a5-85ae1318bbbf)
+  )
+  (wire (pts (xy 71.12 154.94) (xy 71.12 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 683f579e-b3a4-4d11-9ef5-8ecd86b1794b)
+  )
+  (wire (pts (xy 40.64 157.48) (xy 43.18 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 686603b5-e759-49bf-96e0-5c12b2b9ca55)
+  )
+  (wire (pts (xy 299.72 163.83) (xy 299.72 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 689bd586-34df-4fb7-bef5-345c6540c597)
+  )
+  (wire (pts (xy 119.38 218.44) (xy 119.38 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6a2db1e1-6d77-4d6f-ae2b-1d88571769e3)
+  )
+  (wire (pts (xy 109.22 144.78) (xy 109.22 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6afae99f-add0-49fc-b201-62e0b5662b81)
+  )
+  (wire (pts (xy 266.7 118.11) (xy 276.86 118.11))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6bd88025-68c9-4627-a181-ca73c4609309)
+  )
+  (wire (pts (xy 179.07 53.34) (xy 195.58 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6fba13c7-7962-42c4-a1d2-f9c678c755b7)
+  )
+  (wire (pts (xy 299.72 118.11) (xy 299.72 119.38))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 70160c56-46b2-4f1a-b223-fa0249de03a4)
+  )
+  (wire (pts (xy 86.36 157.48) (xy 81.28 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7034941c-2c10-4cc6-8ddb-138805e00a8d)
+  )
+  (wire (pts (xy 81.28 76.2) (xy 83.82 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 70c3ccf3-d0c4-43cf-b423-21d0b5d56f31)
+  )
+  (wire (pts (xy 368.3 226.06) (xy 368.3 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 70faef0c-256c-4e38-8e43-1f342b052604)
+  )
+  (wire (pts (xy 220.98 66.04) (xy 231.14 66.04))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 73511eb4-2990-41c4-b607-94547dad4eb2)
+  )
+  (wire (pts (xy 154.94 73.66) (xy 163.83 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7503711f-6077-41fa-9997-c27f038b403e)
+  )
+  (wire (pts (xy 332.74 127) (xy 342.9 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 756e4416-05fc-4ec3-b49a-39ecc984a436)
+  )
+  (wire (pts (xy 276.86 226.06) (xy 276.86 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7623f4ec-0558-454f-be2c-d762e8ffbdc5)
+  )
+  (wire (pts (xy 198.12 165.1) (xy 198.12 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 766db1ef-748f-4a8a-ae37-b7d5f18e43bb)
+  )
+  (wire (pts (xy 299.72 171.45) (xy 312.42 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 76ddfbfa-8c8f-4010-aae2-140c85e18042)
+  )
+  (wire (pts (xy 195.58 53.34) (xy 195.58 66.04))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 775171b1-5a96-4de1-a429-349a856d2142)
+  )
+  (wire (pts (xy 304.8 218.44) (xy 307.34 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 77d92bb2-8414-4eb6-9b79-d23eadca2a9f)
+  )
+  (wire (pts (xy 121.92 147.32) (xy 121.92 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 77d96ff0-32bd-4274-9950-454481390d60)
+  )
+  (wire (pts (xy 106.68 154.94) (xy 121.92 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7811775e-51ee-40bf-a7d7-413548738d3d)
+  )
+  (wire (pts (xy 154.94 73.66) (xy 154.94 83.82))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 788ae745-ee1b-475e-b6c8-e81ffe392207)
+  )
+  (wire (pts (xy 287.02 218.44) (xy 289.56 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 790b2bce-1f37-4dbb-92e4-432a1544e183)
+  )
+  (wire (pts (xy 99.06 226.06) (xy 99.06 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 790e3f45-2b8a-4c18-9ac1-61b10ec6d6ad)
+  )
+  (wire (pts (xy 179.07 63.5) (xy 193.04 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 79d4e245-8c61-4543-a3df-cd51145501e0)
+  )
+  (wire (pts (xy 177.8 83.82) (xy 195.58 83.82))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7a516ab7-5ca8-45f9-a0d7-ef2b9e4a9a81)
+  )
+  (wire (pts (xy 266.7 125.73) (xy 276.86 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7c4913c6-5f22-4a59-a733-f885960212a0)
+  )
+  (wire (pts (xy 33.02 134.62) (xy 73.66 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7cd36700-4248-4236-bd1c-483de005f6aa)
+  )
+  (wire (pts (xy 83.82 134.62) (xy 142.24 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7e21666a-2f9e-422b-b5c1-68bc32b66682)
+  )
+  (wire (pts (xy 299.72 125.73) (xy 312.42 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7e7bc4db-39ce-4f44-a1f4-81ed41f1f0bc)
+  )
+  (wire (pts (xy 297.18 118.11) (xy 299.72 118.11))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7ea7268a-dc5b-4bf2-b4ec-ebc108c6d2f6)
+  )
+  (wire (pts (xy 205.74 86.36) (xy 205.74 88.9))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7f2f57f4-3d81-430d-a068-b2d46d928cd6)
+  )
+  (wire (pts (xy 73.66 134.62) (xy 83.82 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 80055f50-2514-4901-9e80-1f68babf14a3)
+  )
+  (wire (pts (xy 78.74 226.06) (xy 78.74 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 80d6dabb-48fa-49fe-a48e-63dd4d0dafee)
+  )
+  (wire (pts (xy 53.34 147.32) (xy 53.34 149.86))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 811f016a-ec93-4bd9-9061-665378bccf65)
+  )
+  (wire (pts (xy 297.18 74.93) (xy 299.72 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 828b0d45-3c23-4c19-a901-4685748a03ac)
+  )
+  (wire (pts (xy 358.14 226.06) (xy 358.14 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 83473c8b-6cd1-4975-a1b8-eecb314fac9a)
+  )
+  (wire (pts (xy 81.28 132.08) (xy 81.28 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8546772a-af1b-4a3a-bce8-2c589cfbc292)
+  )
+  (wire (pts (xy 358.14 215.9) (xy 358.14 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 87323de0-cebc-4753-9e4a-01bdf923c03d)
+  )
+  (wire (pts (xy 203.2 144.78) (xy 205.74 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 87c837f4-f0ad-40ac-9aab-cbe52f5fd89a)
+  )
+  (wire (pts (xy 287.02 151.13) (xy 287.02 153.67))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 883f379b-7eb7-4531-a572-5646b9847632)
+  )
+  (wire (pts (xy 83.82 172.72) (xy 83.82 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 88eece46-dc6d-4991-b30a-3f2c95b4966f)
+  )
+  (wire (pts (xy 368.3 215.9) (xy 368.3 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8903b69b-bc78-4da4-904c-87c9b1ad57f0)
+  )
+  (wire (pts (xy 165.1 127) (xy 165.1 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 891d2f65-3639-42c3-9b1b-ef7a456733bb)
+  )
+  (wire (pts (xy 203.2 162.56) (xy 203.2 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 89265bd8-301d-432d-b092-96b4b48e8e72)
+  )
+  (wire (pts (xy 114.3 144.78) (xy 116.84 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8950bd7c-e830-4e20-9f68-54e7b4aa45d2)
+  )
+  (wire (pts (xy 317.5 226.06) (xy 317.5 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 895c03cb-61d0-4dd9-9033-5d69af29bb21)
+  )
+  (wire (pts (xy 83.82 66.04) (xy 83.82 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8a19079c-8ce2-405d-bd7b-c24265a48da5)
+  )
+  (wire (pts (xy 175.26 167.64) (xy 172.72 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8f32e4da-1281-4621-9e8b-69ff646b5303)
+  )
+  (wire (pts (xy 347.98 127) (xy 353.06 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 90f0ff99-1e5f-4896-837b-fbe67dc84f40)
+  )
+  (wire (pts (xy 40.64 154.94) (xy 40.64 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 91347ee8-0a3d-4ffa-b3db-6adda14579f7)
+  )
+  (wire (pts (xy 297.18 171.45) (xy 299.72 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 927ee872-af46-474a-af3a-033f409d702b)
+  )
+  (wire (pts (xy 287.02 54.61) (xy 287.02 57.15))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 93907c2d-e32a-4a43-a3eb-66035b476863)
+  )
+  (wire (pts (xy 147.32 63.5) (xy 147.32 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 94b4a646-21ee-4c05-8653-e6c4ac86fb60)
+  )
+  (wire (pts (xy 99.06 218.44) (xy 99.06 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 94d8d43c-094a-45cc-870e-2b2cf22a26ab)
+  )
+  (wire (pts (xy 83.82 172.72) (xy 86.36 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 965ccff9-04a7-429b-bb11-168c33cf83f1)
+  )
+  (wire (pts (xy 200.66 144.78) (xy 203.2 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 970ff045-d21d-4b60-9619-5876a460c064)
+  )
+  (wire (pts (xy 172.72 167.64) (xy 172.72 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 999721b5-4cec-4e8c-a260-9e0950f19f95)
+  )
+  (wire (pts (xy 83.82 182.88) (xy 83.82 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9babd62b-2d56-49fb-b0bb-fd99e6ccf660)
+  )
+  (wire (pts (xy 205.74 152.4) (xy 205.74 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9d93cb83-27d3-40b8-a890-08a3fd3db524)
+  )
+  (wire (pts (xy 165.1 137.16) (xy 165.1 142.24))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9f16111f-e310-42a1-a64f-ba5c3873bcc8)
+  )
+  (wire (pts (xy 378.46 226.06) (xy 378.46 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9f368737-0bf4-4016-9525-9370222b0cb6)
+  )
+  (wire (pts (xy 139.7 129.54) (xy 139.7 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a11abb43-51fb-4258-ad19-25a3c4a989f1)
+  )
+  (wire (pts (xy 179.07 43.18) (xy 198.12 43.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a2c1e414-96bc-4a85-a8da-14c46f30d369)
+  )
+  (wire (pts (xy 373.38 121.92) (xy 381 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a330a20f-eca9-4081-a3bc-e37f684f6191)
+  )
+  (wire (pts (xy 63.5 157.48) (xy 73.66 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a40d41a3-ff43-4933-8f79-2d0ad0e432a8)
+  )
+  (wire (pts (xy 205.74 154.94) (xy 215.9 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a433673a-0172-4298-afbc-07f468eab1c9)
+  )
+  (wire (pts (xy 203.2 180.34) (xy 200.66 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a4e3fa69-debc-44c9-a242-b3eba0888723)
+  )
+  (wire (pts (xy 83.82 165.1) (xy 83.82 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a5973504-b119-44fe-a1c8-f323d94c89eb)
+  )
+  (wire (pts (xy 195.58 165.1) (xy 198.12 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a6f2a756-d85e-42aa-ae68-6fc915792b96)
+  )
+  (wire (pts (xy 134.62 73.66) (xy 154.94 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a72b650b-0cf7-4a56-8b6f-86f1c022efa8)
+  )
+  (wire (pts (xy 317.5 215.9) (xy 317.5 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a7ff388e-615f-4d0b-9109-480fa0ee1afe)
+  )
+  (wire (pts (xy 203.2 177.8) (xy 203.2 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a86b1d79-cbfa-4c09-a67e-7dcf2ec79a15)
+  )
+  (wire (pts (xy 119.38 157.48) (xy 134.62 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a8a5e711-e07e-4398-805f-3240f5f9b7b6)
+  )
+  (wire (pts (xy 203.2 152.4) (xy 203.2 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a9c9eaf8-7dcd-44a9-8877-db67b004747c)
+  )
+  (wire (pts (xy 287.02 135.89) (xy 287.02 138.43))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid aa811b2b-61af-4ec5-b311-a69f27dcb970)
+  )
+  (wire (pts (xy 106.68 167.64) (xy 109.22 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid aa94cea4-7556-4a91-a174-5e892fdc3148)
+  )
+  (wire (pts (xy 83.82 68.58) (xy 88.9 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid abffbcf5-8689-49ac-974e-ee35828ec51c)
+  )
+  (wire (pts (xy 111.76 152.4) (xy 111.76 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ac2d2e22-38da-4342-93fb-28c3bc0b2570)
+  )
+  (wire (pts (xy 368.3 129.54) (xy 368.3 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid acd2349e-adcf-46a4-80dd-5caff64e5983)
+  )
+  (wire (pts (xy 160.02 88.9) (xy 170.18 88.9))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid add7a718-8a7d-43f6-a6f5-ca28014c947e)
+  )
+  (wire (pts (xy 106.68 170.18) (xy 116.84 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ae706e90-7e04-4266-8112-403e3fa35748)
+  )
+  (wire (pts (xy 266.7 226.06) (xy 266.7 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid af17f857-f508-4449-be6f-d9c524a853b1)
+  )
+  (wire (pts (xy 154.94 43.18) (xy 154.94 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b3306bf3-92eb-4bb4-a835-7ab685a76ea4)
+  )
+  (wire (pts (xy 299.72 163.83) (xy 312.42 163.83))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b378789c-9282-4287-8dad-5a9c462d4502)
+  )
+  (wire (pts (xy 276.86 218.44) (xy 276.86 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b46c7385-5253-4809-a2f0-8961b5e7f0cb)
+  )
+  (wire (pts (xy 121.92 152.4) (xy 121.92 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b595704f-6f38-4c33-b16c-8d6cced60f68)
+  )
+  (wire (pts (xy 106.68 165.1) (xy 111.76 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b5b7b492-06a7-4ac0-ada8-180e03ffed3a)
+  )
+  (wire (pts (xy 63.5 154.94) (xy 71.12 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b7cb1c03-29ab-4ec6-a105-476220d3a70f)
+  )
+  (wire (pts (xy 116.84 170.18) (xy 134.62 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b82a6290-6912-47ab-bc4b-8bfe4c872b98)
+  )
+  (wire (pts (xy 172.72 170.18) (xy 175.26 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b9020208-824b-4c85-858b-46169c72ba28)
+  )
+  (wire (pts (xy 193.04 68.58) (xy 200.66 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b91e2d19-2741-4eca-9d62-0b7ac7d114cd)
+  )
+  (wire (pts (xy 40.64 160.02) (xy 40.64 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b958e3d2-c3fd-4e57-9cb2-1e87933a3d67)
+  )
+  (wire (pts (xy 165.1 129.54) (xy 162.56 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b98ba6e6-026a-43bd-80c8-47ed1fcabf67)
+  )
+  (wire (pts (xy 139.7 137.16) (xy 139.7 142.24))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid bb01b3e0-f22d-4569-8969-06877bd38fd1)
+  )
+  (wire (pts (xy 205.74 50.8) (xy 205.74 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid bb9b2ddd-8527-419a-956f-75bca720cd41)
+  )
+  (wire (pts (xy 287.02 226.06) (xy 287.02 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid be3cd1d3-f154-4366-aeea-fc92f5dd7ae0)
+  )
+  (wire (pts (xy 116.84 144.78) (xy 116.84 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid be6e453b-4109-43f5-9d7a-0ba6f10d9415)
+  )
+  (wire (pts (xy 195.58 73.66) (xy 200.66 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c0182328-d3b5-4abb-b43f-bb553fd7ea3c)
+  )
+  (wire (pts (xy 195.58 66.04) (xy 200.66 66.04))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c040da35-f3ba-41b9-b5ea-ec16fc6f0c83)
+  )
+  (wire (pts (xy 96.52 180.34) (xy 96.52 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c071db03-d914-4d65-8fd8-066b76e13040)
+  )
+  (wire (pts (xy 365.76 121.92) (xy 368.3 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c1b2acb2-758b-483d-ba69-89a04623b28d)
+  )
+  (wire (pts (xy 266.7 163.83) (xy 276.86 163.83))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c1ba27d1-8fc0-4821-a503-e69275ab010c)
+  )
+  (wire (pts (xy 147.32 63.5) (xy 165.1 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c1dc8896-ef8f-4945-bd33-74d179c25d5c)
+  )
+  (wire (pts (xy 200.66 170.18) (xy 198.12 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c4bb1092-2275-4c37-9211-bb2a5f576444)
+  )
+  (wire (pts (xy 388.62 215.9) (xy 388.62 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c4bb9245-8ec0-4c58-a634-bec791c24afc)
+  )
+  (wire (pts (xy 297.18 125.73) (xy 299.72 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c58f9b83-b554-4eab-bae1-1670323fda17)
+  )
+  (wire (pts (xy 299.72 170.18) (xy 299.72 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c5e6fcba-f849-4a32-93d1-cda25365ea3b)
+  )
+  (wire (pts (xy 266.7 74.93) (xy 276.86 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c6422721-2005-4f8b-87ff-b81ee8002349)
+  )
+  (wire (pts (xy 109.22 167.64) (xy 134.62 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c8a4c948-8888-4494-9f5b-aae78b8bab0c)
+  )
+  (wire (pts (xy 40.64 160.02) (xy 43.18 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c8e1c8a2-176e-44ac-8f9f-dc3c2f16c398)
+  )
+  (wire (pts (xy 66.04 160.02) (xy 66.04 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c946b2ca-67db-4e0f-a3ff-cc721c197545)
+  )
+  (wire (pts (xy 109.22 218.44) (xy 109.22 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c986ca11-ad15-4e59-87d0-a93f68e7cb5d)
+  )
+  (wire (pts (xy 205.74 157.48) (xy 205.74 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ca1838be-ccaf-492c-a95f-18841fd93345)
+  )
+  (wire (pts (xy 160.02 38.1) (xy 172.72 38.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid caf00b9b-8874-42d4-bd94-f5da200dcdd2)
+  )
+  (wire (pts (xy 287.02 105.41) (xy 287.02 107.95))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cb50b573-92ca-4348-9573-a7435bd49f67)
+  )
+  (wire (pts (xy 96.52 142.24) (xy 96.52 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cd07b4b1-d135-45dd-8c44-3b3b2674dd3f)
+  )
+  (wire (pts (xy 58.42 71.12) (xy 68.58 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cf8586d3-b828-4946-b670-a5450973b0e6)
+  )
+  (wire (pts (xy 86.36 154.94) (xy 83.82 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cfae92eb-d46e-40d4-a9a3-e1ce7175afc9)
+  )
+  (wire (pts (xy 195.58 167.64) (xy 200.66 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cfd978b7-024e-4d33-975e-0b918f727d26)
+  )
+  (wire (pts (xy 172.72 170.18) (xy 172.72 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d0ad9a4a-77ae-4eee-9f41-099843924a98)
+  )
+  (wire (pts (xy 119.38 152.4) (xy 119.38 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d1058c68-ed5c-4024-9627-35ca87f30052)
+  )
+  (wire (pts (xy 114.3 152.4) (xy 114.3 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d16d648a-dd3c-4a58-b235-bfa9a7942bc9)
+  )
+  (wire (pts (xy 299.72 74.93) (xy 312.42 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d228e069-c645-4052-89bd-61f62b0bac61)
+  )
+  (wire (pts (xy 266.7 166.37) (xy 276.86 166.37))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d2346e9a-9fe3-4d7d-b074-2145bfb5a00e)
+  )
+  (wire (pts (xy 33.02 132.08) (xy 71.12 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d23802a4-f4e2-474c-9f46-caecd0ae3a46)
+  )
+  (wire (pts (xy 198.12 93.98) (xy 198.12 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d5ada378-3553-4c61-9726-b769c57d3317)
+  )
+  (wire (pts (xy 299.72 67.31) (xy 299.72 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d638c674-b22b-419b-86b3-85f1feaf7612)
+  )
+  (wire (pts (xy 83.82 167.64) (xy 86.36 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d63fd6c7-29a4-4074-a7c1-d22c9e873165)
+  )
+  (wire (pts (xy 177.8 93.98) (xy 198.12 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d6f6d555-9b56-44bd-89fd-1082f60e1b70)
+  )
+  (wire (pts (xy 378.46 215.9) (xy 378.46 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d9cb6200-7e92-4a50-83fc-514a3a42bcdb)
+  )
+  (wire (pts (xy 220.98 76.2) (xy 231.14 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d9dbc395-5de5-49e0-8b26-9f14e233852b)
+  )
+  (wire (pts (xy 88.9 66.04) (xy 88.9 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid db01401f-087c-48c6-9663-4e3656c6114a)
+  )
+  (wire (pts (xy 347.98 226.06) (xy 347.98 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid db1fd9e7-93b8-48e3-8248-c8bbb2cf3359)
+  )
+  (wire (pts (xy 63.5 160.02) (xy 66.04 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid db358fc3-c926-41f1-a8ea-9b65ab41aa42)
+  )
+  (wire (pts (xy 106.68 172.72) (xy 114.3 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid dd3b4318-6748-41ec-abc5-8a97cd3685a1)
+  )
+  (wire (pts (xy 195.58 154.94) (xy 205.74 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid de41441d-60b5-480b-98ac-d5b05133052f)
+  )
+  (wire (pts (xy 111.76 144.78) (xy 114.3 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e21cf3f3-2b85-47ab-bb69-d7f675c4364f)
+  )
+  (wire (pts (xy 142.24 129.54) (xy 139.7 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e40820e7-0959-42fa-8ba4-17d2cb24a6f6)
+  )
+  (wire (pts (xy 154.94 53.34) (xy 165.1 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e45cf422-03e7-4f98-99d3-86ad654ec935)
+  )
+  (wire (pts (xy 220.98 73.66) (xy 231.14 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e55517ec-ec47-4ce9-920d-c86f7bfdc2f5)
+  )
+  (wire (pts (xy 220.98 71.12) (xy 231.14 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e66eaec8-c64f-4240-b726-87cd5ba51412)
+  )
+  (wire (pts (xy 200.66 167.64) (xy 215.9 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e7eaa6d3-9d8e-4cd9-842c-ae7ccc0acad8)
+  )
+  (wire (pts (xy 147.32 93.98) (xy 163.83 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e88ae4d3-bcdc-4e9a-bd48-fd4ef5c597a5)
+  )
+  (wire (pts (xy 347.98 215.9) (xy 347.98 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e9a85175-76b8-47b3-95d8-e6345fc4c9f7)
+  )
+  (wire (pts (xy 220.98 63.5) (xy 231.14 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid eafcf34c-8ab3-415c-bbd2-4e6794205e8e)
+  )
+  (wire (pts (xy 350.52 119.38) (xy 350.52 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ebb49071-779b-4706-806e-f5012f4f787a)
+  )
+  (wire (pts (xy 170.18 157.48) (xy 175.26 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ec13dc67-35e0-478d-883c-a11b7f6a5c4c)
+  )
+  (wire (pts (xy 111.76 144.78) (xy 111.76 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid edd6a1ba-1143-4d3e-bb9d-72236771e9bf)
+  )
+  (wire (pts (xy 203.2 180.34) (xy 205.74 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ee662ecc-dee6-4297-9c83-4564e2654552)
+  )
+  (wire (pts (xy 68.58 226.06) (xy 68.58 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f1394219-8797-4954-a21d-c4cb0ea8b98e)
+  )
+  (wire (pts (xy 177.8 73.66) (xy 193.04 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f1876379-f8ca-4490-98b9-63201a6059db)
+  )
+  (wire (pts (xy 109.22 226.06) (xy 109.22 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f194b7c7-c561-4bf7-b8a7-2057380c7a25)
+  )
+  (wire (pts (xy 266.7 171.45) (xy 276.86 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f2f096e4-7f90-4916-ba61-50d500b1cd27)
+  )
+  (wire (pts (xy 200.66 172.72) (xy 200.66 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f320ddae-82a2-489f-be13-8dbd422e8884)
+  )
+  (wire (pts (xy 337.82 226.06) (xy 337.82 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f5b13cec-5741-4551-801f-934e0ce04a35)
+  )
+  (wire (pts (xy 160.02 78.74) (xy 170.18 78.74))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f5f54a55-70c1-4503-a160-48b29a48c3f3)
+  )
+  (wire (pts (xy 205.74 144.78) (xy 205.74 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f6f73185-ad21-452f-a27b-8754577fb1d5)
+  )
+  (wire (pts (xy 172.72 172.72) (xy 175.26 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f82521b6-6892-4bd9-b480-0468625bc646)
+  )
+  (wire (pts (xy 200.66 142.24) (xy 200.66 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fa18d254-12e4-4e56-999b-a8582e6d11a0)
+  )
+  (wire (pts (xy 200.66 71.12) (xy 193.04 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fa6723b0-c5be-4181-b6af-253bca457845)
+  )
+  (wire (pts (xy 203.2 160.02) (xy 215.9 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fadcbfa0-2283-4b04-8684-f8cddbd2ff9d)
+  )
+  (wire (pts (xy 58.42 73.66) (xy 68.58 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid faf5e14e-aa20-40a4-9295-2d330f0ae589)
+  )
+  (wire (pts (xy 121.92 154.94) (xy 134.62 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fbadde18-33ed-418e-bfe3-a717cbb7a099)
+  )
+  (wire (pts (xy 266.7 120.65) (xy 276.86 120.65))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fcac6fb4-51ab-4b08-8aca-acf64a1b673b)
+  )
+  (wire (pts (xy 134.62 53.34) (xy 154.94 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fd59655c-0136-4fa8-b9a1-e57f48fb09ad)
+  )
+  (wire (pts (xy 198.12 63.5) (xy 198.12 43.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fe649c9c-1747-4533-99cf-5316d47d0b13)
+  )
+  (wire (pts (xy 142.24 137.16) (xy 139.7 137.16))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fe73b655-dc4d-4f21-947a-784d8831930f)
+  )
+  (wire (pts (xy 160.02 68.58) (xy 170.18 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fe98cf91-ba42-401f-af14-113dfae609b8)
+  )
+  (wire (pts (xy 365.76 124.46) (xy 368.3 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ff26fb4f-4bef-4b89-963b-70f2323f3b56)
+  )
+
+  (text "6x10k" (at 124.46 149.86 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid ac15478d-dcb4-4621-b074-f9b09fb61798)
+  )
+  (text "3x10k" (at 208.28 177.8 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid b4776edd-9619-425b-8cf9-0783f383534c)
+  )
+  (text "3x10k" (at 208.28 149.86 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid d4ffb3b4-1700-4758-bfd3-4be23d2787cd)
+  )
+
+  (label "TXD_DI" (at 266.7 171.45 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 02e890be-11d1-4bbf-b43a-7bd4a4d03f6f)
+  )
+  (label "~{TXD_TXEN}" (at 160.02 38.1 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 036fa61e-507d-4278-813b-cbccb1182bb8)
+  )
+  (label "TXD-" (at 332.74 127 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 0c8f2345-93a7-44de-864f-d17f220d99d0)
+  )
+  (label "SCL" (at 88.9 73.66 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 18ac0338-298a-40b5-97d7-e286cbae436c)
+  )
+  (label "SDA" (at 88.9 71.12 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 1b8ed0f5-2e9e-4015-80b4-a1985cbf6d86)
+  )
+  (label "RXD_DI" (at 266.7 125.73 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 1e16d8c8-4ac4-4c56-92d2-d98dc95a0888)
+  )
+  (label "~{TXD_TXEN}" (at 134.62 157.48 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 28e900d9-fdf7-425a-9617-42d786159375)
+  )
+  (label "UART_TX" (at 134.62 53.34 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 2bb138e3-61b2-4294-80c6-80820765455a)
+  )
+  (label "TXD_DI" (at 231.14 63.5 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 34c0c8b3-eb55-4ba8-b1b4-de93be6e6459)
+  )
+  (label "UART_RX" (at 58.42 68.58 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 34c6f526-562f-4fdf-89b3-c788b37df435)
+  )
+  (label "RXD_RO" (at 231.14 73.66 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 3584b16c-a735-4585-b131-b3a773e1e17d)
+  )
+  (label "RXD-" (at 312.42 118.11 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 3701b4cf-ffc8-43a4-831a-7cd3cb11f27d)
+  )
+  (label "SCL_ISO" (at 165.1 134.62 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 3b9fb47f-970d-49ad-bbd5-ae6987a8a18f)
+  )
+  (label "~{PPS_TXEN}" (at 160.02 58.42 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 3d6df508-0735-429f-850d-274759234988)
+  )
+  (label "~{RXD_TXEN}" (at 160.02 48.26 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 417167cf-9977-4125-bbe3-970ddd556298)
+  )
+  (label "RXD+" (at 381 124.46 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 43f397bb-f2c4-40c9-a10b-27fc3e9bb046)
+  )
+  (label "RXD_DI" (at 231.14 66.04 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 54e77043-9eb0-477c-8abb-9aff26f53eb6)
+  )
+  (label "~{RXD_RE}" (at 215.9 160.02 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 5754098a-7aa1-4506-a72c-3a221c17e514)
+  )
+  (label "~{RXD_TXEN}" (at 134.62 172.72 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 595a6411-5c36-47d7-bcb3-7afd78435f13)
+  )
+  (label "~{RXD_RXEN}" (at 134.62 170.18 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 596fe8b1-8bde-4fcc-b80e-3a51b866210f)
+  )
+  (label "PPS_RO" (at 266.7 67.31 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 5cc4ebe0-8f41-433a-9813-a452c7d25710)
+  )
+  (label "RXD_RO" (at 266.7 118.11 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 6386080c-9804-4734-8ec3-5fa22b6c24b6)
+  )
+  (label "SDA_ISO" (at 165.1 132.08 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 64183efd-803c-4133-b8bf-c6445f2b8a90)
+  )
+  (label "~{PPS_TXEN}" (at 134.62 167.64 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 647b9313-3314-4b52-bdb5-f558b32d63c0)
+  )
+  (label "~{PPS_RE}" (at 266.7 69.85 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 65a08ef2-da90-4c64-8a9f-2fdad92de85d)
+  )
+  (label "~{TXD_RXEN}" (at 134.62 154.94 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 6bbb47cb-0782-488c-8b58-05ca35f14e49)
+  )
+  (label "~{RXD_RE}" (at 266.7 120.65 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 75288e91-893e-407b-9c88-4414e368750d)
+  )
+  (label "~{TXD_RXEN}" (at 160.02 68.58 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 7f051bab-435d-44db-8ba8-a44b04df4a02)
+  )
+  (label "UART_TX" (at 58.42 71.12 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 845b8cbd-a2b6-44a9-8beb-19baf7ad899b)
+  )
+  (label "TXD_RO" (at 231.14 71.12 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 85503167-3d16-445b-8054-1c0890a078e3)
+  )
+  (label "~{PPS_RXEN}" (at 134.62 165.1 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 90a62719-d8f6-4a79-a00a-ee9443e38c4c)
+  )
+  (label "SCL" (at 33.02 134.62 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 92783acd-a379-4f45-b40d-53c831dde953)
+  )
+  (label "SDA" (at 33.02 132.08 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 98088bbd-3ecf-44db-8b1f-2e5bdb1a4cba)
+  )
+  (label "RXD+" (at 312.42 125.73 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 986c6436-4724-4db1-8688-45195136a8f2)
+  )
+  (label "~{TXD_RE}" (at 266.7 166.37 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 9fc89731-4b7c-4273-880f-3106824975cc)
+  )
+  (label "UART_RX" (at 134.62 73.66 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid a4857a59-3b38-4d4d-88a3-113df589c29c)
+  )
+  (label "PPS-" (at 312.42 67.31 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid b0e92313-b599-4dc7-bf64-917afed02395)
+  )
+  (label "TXD+" (at 312.42 171.45 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid b1f3668d-a080-4a4e-8fbf-b6d428dfc77e)
+  )
+  (label "PPS_DI" (at 231.14 68.58 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid b5df00f6-632f-4360-a2b3-bf256631e444)
+  )
+  (label "TXD+" (at 381 127 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid be9eca17-d975-4de6-bb93-8a460c8a0cf7)
+  )
+  (label "TXD-" (at 312.42 163.83 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid c06e5175-45c8-4a91-9964-da4fcca1413e)
+  )
+  (label "TXD_DE" (at 266.7 168.91 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid c1791292-c713-4777-b6d1-6c40e86122d3)
+  )
+  (label "PPS_IO" (at 134.62 93.98 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid cad02d28-579b-430c-8b42-dee051911164)
+  )
+  (label "PPS+" (at 312.42 74.93 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid cd35d242-064d-47f3-9569-fd89bb7dbe98)
+  )
+  (label "~{PPS_RE}" (at 215.9 167.64 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid cf34ffc5-7cba-4a53-9a28-8773eb2961f6)
+  )
+  (label "PPS+" (at 381 121.92 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid d61c41f2-1fa2-41dc-9431-06d7d2a4c452)
+  )
+  (label "RXD_DE" (at 215.9 162.56 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid d9a8e271-6d37-4dd3-90c6-3b2a98a52a8d)
+  )
+  (label "PPS_DE" (at 215.9 165.1 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid db3f58f8-e689-4b29-ab6d-ec569c340d39)
+  )
+  (label "~{PPS_RXEN}" (at 160.02 88.9 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid df92eba6-9a1d-4826-8a61-3ba9b493314c)
+  )
+  (label "PPS_RO" (at 231.14 76.2 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid e27a95ec-1474-40b2-a862-7f08e5fd9c63)
+  )
+  (label "RXD_DE" (at 266.7 123.19 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid e3a68988-1dfb-4313-914c-6c198f281889)
+  )
+  (label "TXD_RO" (at 266.7 163.83 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid e40fb0fd-b99c-495a-a774-94fd2e6a175d)
+  )
+  (label "PPS-" (at 332.74 121.92 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid e8a37b12-7dcb-45cf-9f6a-dd3be3ac8561)
+  )
+  (label "PPS_DE" (at 266.7 72.39 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f1b407be-14d7-48e6-b396-d6a251cec23c)
+  )
+  (label "PPS_DI" (at 266.7 74.93 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f1deaa5f-174e-4eb8-aeae-55d182c8c398)
+  )
+  (label "PPS_IO" (at 58.42 73.66 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f212d208-0807-4a48-916e-075314d22f82)
+  )
+  (label "RXD-" (at 332.74 124.46 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f76d9ea8-d9da-49ed-9d45-c9681451ce0a)
+  )
+  (label "~{RXD_RXEN}" (at 160.02 78.74 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f99f4920-039a-4403-92ba-086cbabc0113)
+  )
+  (label "TXD_DE" (at 215.9 157.48 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid fc9cf336-1a73-42a0-944c-88416d0104d9)
+  )
+  (label "~{TXD_RE}" (at 215.9 154.94 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid ff12be1d-3148-420f-8357-fead9f2c06c7)
+  )
+
+  (symbol (lib_id "power:+3V3") (at 205.74 50.8 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 014f1669-ae08-450a-b680-baefaf87ddba)
+    (property "Reference" "#PWR04" (id 0) (at 205.74 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 205.74 47.2242 0))
+    (property "Footprint" "" (id 2) (at 205.74 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 205.74 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8daa8167-4657-42e5-91b3-602c4c195a8e))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 347.98 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 01cd3ff7-d8a9-423f-8d8f-f12ef272ae89)
+    (property "Reference" "#PWR042" (id 0) (at 347.98 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 347.98 212.3242 0))
+    (property "Footprint" "" (id 2) (at 347.98 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 347.98 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 54fe9d88-c856-4f10-bbee-65e11fd3433e))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 83.82 165.1 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 05d28ea0-5a12-4b12-97a9-e85074515c0a)
+    (property "Reference" "#PWR014" (id 0) (at 83.82 168.91 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 81.28 165.1 90))
+    (property "Footprint" "" (id 2) (at 83.82 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b5cf2a67-72a1-40d8-8451-f1544f2568db))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 203.2 175.26 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 05e6fc07-ec20-47dc-8c98-fb39870e0f88)
+    (property "Reference" "R15" (id 0) (at 203.2 175.26 90))
+    (property "Value" "10k" (id 1) (at 203.2 180.34 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 203.2 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 203.2 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 2bb49905-f7d8-4728-b59d-c741f885850d))
+    (pin "2" (uuid cc4fdc2a-9888-490e-a1e9-9bcc4d559216))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 358.14 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 0972fc5a-7164-4601-b8b1-52f8753cce7c)
+    (property "Reference" "C13" (id 0) (at 355.8159 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 355.8159 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 358.14 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 358.14 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 24074500-ee06-4b3b-b410-c5a5afa0a7d1))
+    (pin "2" (uuid fed033ca-d38c-4e11-99ad-fe5c31ba980a))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 184.15 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 0c8f918a-87ac-40a7-a162-8a3ba3a2ceb6)
+    (property "Reference" "#PWR024" (id 0) (at 287.02 190.5 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 188.5934 0))
+    (property "Footprint" "" (id 2) (at 287.02 184.15 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 184.15 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6e9ab438-6513-47b7-89f1-bae9f63cddce))
+  )
+
+  (symbol (lib_id "power:+12VA") (at 350.52 119.38 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 0e0d3540-e1d3-45db-a988-7409b5b5261f)
+    (property "Reference" "#PWR025" (id 0) (at 350.52 123.19 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+12VA" (id 1) (at 350.52 115.8042 0))
+    (property "Footprint" "" (id 2) (at 350.52 119.38 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 350.52 119.38 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 43187b46-cb7b-4240-b31a-6e02e18dd608))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 172.72 43.18 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 1009c53b-d5a6-4d41-bc74-1567298b8f04)
+    (property "Reference" "U1" (id 0) (at 175.26 40.64 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 180.34 35.56 0))
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 172.72 43.18 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 172.72 43.18 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid ac486a53-e328-4f5b-afe1-0757728cd402))
+    (pin "8" (uuid a6f9f4a4-26fb-4ef6-b85a-7c485f6992bc))
+    (pin "1" (uuid 5a187f51-c0ca-4888-ab1d-9ced5b66fa0c))
+    (pin "2" (uuid 5d34eb60-8c1e-4978-86e0-d5afcf60cb6e))
+    (pin "6" (uuid 6c25a71a-80c6-4afd-9e7e-60378e752569))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 138.43 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 100c3c95-47ca-4757-9c32-6a573cc6e1a3)
+    (property "Reference" "#PWR022" (id 0) (at 287.02 144.78 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 142.8734 0))
+    (property "Footprint" "" (id 2) (at 287.02 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 74658f73-bf02-4871-9ace-adacc9cfee91))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 170.18 73.66 0) (mirror y) (unit 2)
+    (in_bom yes) (on_board yes)
+    (uuid 1241c5c8-3bf9-4ac1-a0e7-c680388dae3e)
+    (property "Reference" "U1" (id 0) (at 175.26 71.12 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 170.815 80.7673 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 170.18 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 170.18 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid 935fddf1-ca48-4b9b-9452-0d7a4b3312ba))
+    (pin "8" (uuid 541d28a4-d832-483e-9ae1-3a014710c3a2))
+    (pin "3" (uuid 4f4ced1d-370b-4641-ada0-9a34c9f31a73))
+    (pin "5" (uuid c4d623b9-8c75-4e40-bc6e-a5d5688346ec))
+    (pin "7" (uuid a7ab3a6d-7fb7-4e2d-94ce-6e6935fb551e))
+  )
+
+  (symbol (lib_id "Memory_EEPROM:M24C02-FMN") (at 53.34 157.48 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 14bf1363-1bc6-4399-8dad-4a6ed70cadf6)
+    (property "Reference" "U12" (id 0) (at 55.3594 148.7002 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "M24C02-FMN" (id 1) (at 55.3594 151.2371 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 53.34 148.59 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/b0/d8/50/40/5a/85/49/6f/DM00071904.pdf/files/DM00071904.pdf/jcr:content/translations/en.DM00071904.pdf" (id 3) (at 54.61 170.18 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e20e4546-fe7c-4853-bf7d-fc6943ae7aa2))
+    (pin "2" (uuid c67d5c20-d942-48e9-a95c-9673a183f42e))
+    (pin "3" (uuid 12db7a47-a27f-4d66-b6f6-2f3afc060c45))
+    (pin "4" (uuid c30673ae-801e-4100-a71a-0bf6bfa817c6))
+    (pin "5" (uuid 5dbe606e-a44f-4703-bd3d-51760132b80f))
+    (pin "6" (uuid a39e7bf2-cd71-4413-859b-69cceb00613e))
+    (pin "7" (uuid 93f1becd-4972-4730-af56-207571fc0fb6))
+    (pin "8" (uuid f31e2773-3d30-4774-979e-983807b070e1))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 266.7 223.52 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 14c9362c-bdee-41d4-8509-e74a37ac2991)
+    (property "Reference" "R4" (id 0) (at 265.2014 222.6853 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "1k" (id 1) (at 265.2014 225.2222 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 266.7 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 266.7 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 7c38a2fa-58e3-472f-87e8-75a6e13d2efd))
+    (pin "2" (uuid c0ea2ad5-1fde-4f02-aee2-1ec064051268))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 88.9 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 175b6bba-723c-4c12-977b-5d1ec581c631)
+    (property "Reference" "C3" (id 0) (at 86.5759 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 86.5759 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 88.9 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 88.9 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6ab28e0e-7fe6-4f2e-8de2-c7685e4e0f8a))
+    (pin "2" (uuid 1a735882-a5ca-421d-b4ba-5b26cd449a81))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 368.3 132.08 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 178d56f8-3843-4f10-90d1-5d378381e828)
+    (property "Reference" "#PWR026" (id 0) (at 368.3 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 368.3 136.5234 0))
+    (property "Footprint" "" (id 2) (at 368.3 132.08 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 368.3 132.08 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 90aa552c-ec13-445b-b523-97a7ee43e6d5))
+  )
+
+  (symbol (lib_id "power:GND") (at 53.34 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 1c62d1f4-92a3-4d5a-9684-4f720ce7c499)
+    (property "Reference" "#PWR0102" (id 0) (at 53.34 173.99 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 53.34 172.0834 0))
+    (property "Footprint" "" (id 2) (at 53.34 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 53.34 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f64510a5-1780-4626-8b89-4c503923077d))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 297.18 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 21785d01-7b85-4f60-8638-d0fc249190d9)
+    (property "Reference" "#PWR049" (id 0) (at 297.18 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 297.18 233.0434 0))
+    (property "Footprint" "" (id 2) (at 297.18 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 297.18 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 91f792eb-0547-45e0-9535-69791f0227d9))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 99.06 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 21877b10-0106-4ed4-8f3d-dd714e0edcfd)
+    (property "Reference" "#PWR030" (id 0) (at 99.06 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 99.06 214.8642 0))
+    (property "Footprint" "" (id 2) (at 99.06 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 99.06 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 4d36f1f9-f3e3-4089-b396-6dc954a2cfc2))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 119.38 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 28090229-3ceb-450f-bab7-7afd95c45aa7)
+    (property "Reference" "#PWR032" (id 0) (at 119.38 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 119.38 214.8642 0))
+    (property "Footprint" "" (id 2) (at 119.38 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 119.38 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 79a30096-ffc9-4569-8e6d-c4cfd3bbd64c))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 345.44 127 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 2cc3c96b-e4a9-4807-a309-5cb64c75a1fb)
+    (property "Reference" "F5" (id 0) (at 347.98 125.73 90))
+    (property "Value" "300mA" (id 1) (at 345.44 127 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 350.52 125.73 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 345.44 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 99e2ffc2-7f26-425e-9cc7-e427cd72b8a7))
+    (pin "2" (uuid 061dadde-c87f-4c6d-be0e-825235195e60))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 139.7 127 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 30c4ebec-1f18-46e9-9ded-f42496c0f4ab)
+    (property "Reference" "#PWR08" (id 0) (at 139.7 130.81 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 139.7 123.4242 0))
+    (property "Footprint" "" (id 2) (at 139.7 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 139.7 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e8743776-3a58-4d75-ad69-b07fca4b513a))
+  )
+
+  (symbol (lib_id "Connector_Generic:Conn_02x04_Odd_Even") (at 76.2 73.66 180) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 30e215e6-8ba1-4d4e-a4f7-4ddb4064f495)
+    (property "Reference" "J1" (id 0) (at 74.93 63.6102 0))
+    (property "Value" "EXT_IN" (id 1) (at 74.93 66.1471 0))
+    (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" (id 2) (at 76.2 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 76.2 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid c76edcdd-67c9-430a-b1bf-bfb02dcdd89f))
+    (pin "2" (uuid 9a95f062-5abc-4b74-82d4-a63b933098d7))
+    (pin "3" (uuid a7f8b7c3-06d6-49ef-b344-3141997c80ec))
+    (pin "4" (uuid e5a14200-50a9-4db1-ab18-b35118b0f885))
+    (pin "5" (uuid 6c7e31e3-2b43-4dd3-8a81-3deda3cc19b3))
+    (pin "6" (uuid ad021c76-b6ad-49d9-88b7-069b692b6254))
+    (pin "7" (uuid 2c976b53-2d4b-485e-89e6-31a00a78b165))
+    (pin "8" (uuid 590d6495-309b-44e0-abda-2f030d6679df))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 287.02 151.13 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 3287ef49-b33d-4013-ada3-83b8c68abec6)
+    (property "Reference" "#PWR023" (id 0) (at 287.02 154.94 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 287.02 147.5542 0))
+    (property "Footprint" "" (id 2) (at 287.02 151.13 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 151.13 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid cb7a4349-934a-4449-9b36-21e660efbc29))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 205.74 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 32c94a20-5c46-4e34-98fa-24a4f70995b6)
+    (property "Reference" "R13" (id 0) (at 205.74 149.86 90))
+    (property "Value" "10k" (id 1) (at 205.74 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 205.74 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 205.74 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e0a9e0eb-c8a6-46e8-8c62-0ff35219a095))
+    (pin "2" (uuid 9750af7b-b875-4779-8280-e2fccf7a2623))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 68.58 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 370d7aea-c5df-44d3-84d7-e54ffd20bce3)
+    (property "Reference" "C1" (id 0) (at 66.2559 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 66.2559 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 68.58 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 68.58 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0cb2f476-84cb-4a8d-ac5e-a3b7281a5e91))
+    (pin "2" (uuid 8ac5f47e-d164-45d5-bc5e-30ca83140d27))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 375c3623-4d3c-4e78-881d-cdf463807056)
+    (property "Reference" "#PWR048" (id 0) (at 287.02 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 233.0434 0))
+    (property "Footprint" "" (id 2) (at 287.02 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 284c35da-c549-47a5-8b16-0f20d9022c4d))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 266.7 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 385060e0-0fc9-47ee-9079-90ca281e5dd1)
+    (property "Reference" "#PWR061" (id 0) (at 266.7 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 266.7 233.0434 0))
+    (property "Footprint" "" (id 2) (at 266.7 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 266.7 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b6b68958-0d10-4cfa-8281-77c059ced127))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 368.3 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 38546697-4fdb-4498-8b17-5aa799e1c53e)
+    (property "Reference" "C14" (id 0) (at 365.9759 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 365.9759 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 368.3 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 368.3 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 562e2906-67b6-408d-9392-d7896b1505a2))
+    (pin "2" (uuid 3a42c955-1e1f-43e3-8605-567105f0b051))
+  )
+
+  (symbol (lib_id "Interface_Expansion:TCA9534") (at 185.42 162.56 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 38908880-dacf-469d-843a-1aa389d299dd)
+    (property "Reference" "U7" (id 0) (at 187.4394 147.4302 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "TCA9534" (id 1) (at 187.4394 149.9671 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (id 2) (at 209.55 176.53 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tca9534.pdf" (id 3) (at 187.96 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ce24ce43-dee6-4b53-bd77-59c45ee86e6c))
+    (pin "10" (uuid 8d15d0e1-3e87-4308-9ab4-4d3b09c621bc))
+    (pin "11" (uuid 6c6cc21e-cc1c-4ca7-a8d0-2efd5cd8ce56))
+    (pin "12" (uuid 5b746357-6cb8-49d1-8d23-1832e5753ede))
+    (pin "13" (uuid 647ba479-5f5b-49b1-9e87-48dc3abe6100))
+    (pin "14" (uuid 4c9cdd80-69b9-4265-b634-11a9fccc0dd2))
+    (pin "15" (uuid bdcf26bd-b0ab-48ac-b629-ee125a49ec01))
+    (pin "16" (uuid b2d5745c-2ca0-4e02-92b3-c3d9a542e8bb))
+    (pin "2" (uuid e21d28be-d341-412a-96c4-e9d855adcb6b))
+    (pin "3" (uuid 59ff31eb-8438-438f-ac6d-94786a0e4a18))
+    (pin "4" (uuid 7a7fe6c6-7d66-437b-8052-96c7c9844d0c))
+    (pin "5" (uuid 32cae2e2-f16f-4e55-8bef-ffdf2c059c6b))
+    (pin "6" (uuid 1a1f0a9c-ee53-4fd4-b8c2-b26971a190a7))
+    (pin "7" (uuid 7bf8dc14-a280-4442-a9aa-d04c3c6944ee))
+    (pin "8" (uuid f513da02-29aa-404e-adb3-128aa7cd85a4))
+    (pin "9" (uuid 6d341081-e485-4fd1-933c-ec15fba8c3bc))
+  )
+
+  (symbol (lib_id "power:GND") (at 83.82 78.74 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 3b0f90e8-57d9-42d7-85e4-9d338a5720fc)
+    (property "Reference" "#PWR03" (id 0) (at 83.82 85.09 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 83.82 83.1834 0))
+    (property "Footprint" "" (id 2) (at 83.82 78.74 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 78.74 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 74fd62a9-2cf1-466e-8ed6-73a967171883))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 109.22 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 3b5c4058-7a13-472c-8998-684becebf99a)
+    (property "Reference" "R5" (id 0) (at 109.22 149.86 90))
+    (property "Value" "10k" (id 1) (at 109.22 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 109.22 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 109.22 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 238fe513-c3b5-4142-94cd-62435441511f))
+    (pin "2" (uuid 70f61e09-3e6e-4527-87b1-b4a6707684b6))
+  )
+
+  (symbol (lib_id "power:GND") (at 109.22 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 3d574036-99e0-44cc-9972-76bca24e59d0)
+    (property "Reference" "#PWR037" (id 0) (at 109.22 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 109.22 233.0434 0))
+    (property "Footprint" "" (id 2) (at 109.22 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 109.22 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3239f4fd-13b4-4e29-b6a1-90ac41b1129e))
+  )
+
+  (symbol (lib_id "power:GND") (at 88.9 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 3df34b4e-4727-47b7-abcd-c92bf61ec68c)
+    (property "Reference" "#PWR035" (id 0) (at 88.9 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 88.9 233.0434 0))
+    (property "Footprint" "" (id 2) (at 88.9 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 88.9 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 66e18ffe-6820-47df-9a78-29f50c8098aa))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 370.84 127 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 4218b289-c8bc-40ed-a633-54c36234cde1)
+    (property "Reference" "F6" (id 0) (at 367.03 125.73 90))
+    (property "Value" "300mA" (id 1) (at 370.84 127 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 375.92 125.73 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 370.84 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid d0066d4a-5656-4823-950a-75d8ee183c7a))
+    (pin "2" (uuid 60da7a5b-f548-403a-93bf-da4bcc53f749))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 337.82 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 432d3e97-0aab-47b6-9ed1-394040bdf7d0)
+    (property "Reference" "#PWR041" (id 0) (at 337.82 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 337.82 212.3242 0))
+    (property "Footprint" "" (id 2) (at 337.82 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 337.82 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b41bfaa3-83c5-49e2-acb6-1e7877752e47))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 317.5 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 438c035b-6d58-4370-a7c0-b80fa7da5fae)
+    (property "Reference" "#PWR051" (id 0) (at 317.5 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 317.5 233.0434 0))
+    (property "Footprint" "" (id 2) (at 317.5 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 317.5 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 78f9202a-5ffd-4ab4-aa45-2956c0ba2786))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 307.34 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 4583899e-4fbe-4758-af88-ed7b9ffa3b7e)
+    (property "Reference" "#PWR050" (id 0) (at 307.34 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 307.34 233.0434 0))
+    (property "Footprint" "" (id 2) (at 307.34 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 307.34 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 540da161-bb0d-46bb-a8e5-5276ae4eedba))
+  )
+
+  (symbol (lib_id "power:GND") (at 96.52 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 4602adbc-fa58-4643-ab33-fa612b443b3b)
+    (property "Reference" "#PWR016" (id 0) (at 96.52 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 96.52 187.3234 0))
+    (property "Footprint" "" (id 2) (at 96.52 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 96.52 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid bc4c86c7-e6ab-4512-939e-234f201590a3))
+  )
+
+  (symbol (lib_id "power:GND") (at 205.74 88.9 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 470e8523-14fd-415f-95ca-b3f2bf949baa)
+    (property "Reference" "#PWR06" (id 0) (at 205.74 95.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 205.74 93.3434 0))
+    (property "Footprint" "" (id 2) (at 205.74 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 205.74 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid eb42a5f1-93b5-4fd1-9cef-ad3740fe2522))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 203.2 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 4b1cc371-f92b-48fe-b65f-234306fabc06)
+    (property "Reference" "R12" (id 0) (at 203.2 149.86 90))
+    (property "Value" "10k" (id 1) (at 203.2 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 203.2 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 203.2 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 02945cde-3052-43e5-96eb-76850d843862))
+    (pin "2" (uuid 0d3fc9a4-ef9c-4568-ab01-18010a1866ff))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 287.02 54.61 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5214a3c3-5537-4b4e-9d46-adcb220d0aee)
+    (property "Reference" "#PWR019" (id 0) (at 287.02 58.42 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 287.02 51.0342 0))
+    (property "Footprint" "" (id 2) (at 287.02 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid fb38b528-014c-46eb-90c3-b448db10636d))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 172.72 53.34 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 53eb902d-7a32-4c8a-b250-d4047ea9a4e1)
+    (property "Reference" "U2" (id 0) (at 175.26 50.8 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 172.085 60.4473 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 172.72 53.34 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 172.72 53.34 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid fa533a51-82b8-49f3-9aaa-c61e3d18a983))
+    (pin "8" (uuid 0edbd842-a0d1-4fe5-93fd-743081d8cd66))
+    (pin "1" (uuid ac24a0d5-6ef7-4d81-a140-a4582b073b17))
+    (pin "2" (uuid 893f7389-f21d-4371-8d4c-d6af874af153))
+    (pin "6" (uuid ee5ffbb1-129b-4e8e-a8af-73a76756ab97))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 88.9 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 562e6c82-0af5-4762-807f-9116b88f2d90)
+    (property "Reference" "#PWR029" (id 0) (at 88.9 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 88.9 214.8642 0))
+    (property "Footprint" "" (id 2) (at 88.9 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 88.9 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 1cb5ab75-a04c-426a-aadf-186d4844e655))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 165.1 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 56f00518-05e0-4150-9220-83cbacc15a12)
+    (property "Reference" "#PWR011" (id 0) (at 165.1 148.59 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 165.1 146.6834 0))
+    (property "Footprint" "" (id 2) (at 165.1 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 165.1 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3d7bc612-e1f0-4b1f-8ab3-fedd184b58da))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 200.66 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 586807b8-aa12-4af2-9a32-0449ba9c7178)
+    (property "Reference" "#PWR060" (id 0) (at 200.66 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 200.66 187.3234 0))
+    (property "Footprint" "" (id 2) (at 200.66 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 200.66 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6b1a3c0a-05c3-4fdb-aa04-611bd61b9317))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 172.72 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 58a79613-ad24-4858-975c-924f9df4e16e)
+    (property "Reference" "#PWR017" (id 0) (at 172.72 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 172.72 187.3234 0))
+    (property "Footprint" "" (id 2) (at 172.72 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 172.72 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f8ec22f3-7387-4ca3-ac82-d42cb1557d1b))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 114.3 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5b234ca9-2d03-4a23-bde4-9e56846a4c88)
+    (property "Reference" "R7" (id 0) (at 114.3 149.86 90))
+    (property "Value" "10k" (id 1) (at 114.3 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 114.3 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 114.3 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e82d9adb-2242-4a8b-8b11-aa27120b16de))
+    (pin "2" (uuid 5d9d781c-57c2-4faa-9c18-c651c5d3ab03))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 388.62 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5bcf7198-2baa-4595-8024-98eb67f162d6)
+    (property "Reference" "#PWR046" (id 0) (at 388.62 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 388.62 212.3242 0))
+    (property "Footprint" "" (id 2) (at 388.62 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 388.62 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid bf2a0fae-dbbe-404e-979f-7072d775363a))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 200.66 175.26 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5ce7f881-e281-4738-b8ef-9071b387f31d)
+    (property "Reference" "R14" (id 0) (at 200.66 175.26 90))
+    (property "Value" "10k" (id 1) (at 200.66 180.34 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 200.66 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 200.66 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b27b673d-22fc-438d-8894-63b692d1f88d))
+    (pin "2" (uuid e5047f32-cee5-43d2-87af-33f4a150aee6))
+  )
+
+  (symbol (lib_id "power:GND") (at 139.7 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 5d59c1fa-bf2f-4879-8e0b-02bc837a7e18)
+    (property "Reference" "#PWR010" (id 0) (at 139.7 148.59 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 139.7 146.6834 0))
+    (property "Footprint" "" (id 2) (at 139.7 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 139.7 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0c3c9fbd-059c-4184-a622-5325d1ab897a))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 317.5 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 60dff287-a533-42f6-8e08-8a32c0a50907)
+    (property "Reference" "#PWR040" (id 0) (at 317.5 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 317.5 212.3242 0))
+    (property "Footprint" "" (id 2) (at 317.5 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 317.5 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 20a32ca5-911e-465e-bf5b-13b3d58d2779))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 170.18 93.98 0) (mirror y) (unit 2)
+    (in_bom yes) (on_board yes)
+    (uuid 619bdb41-b897-4fb9-bc8a-bee824445120)
+    (property "Reference" "U3" (id 0) (at 175.26 91.44 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 170.815 101.0873 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 170.18 93.98 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 170.18 93.98 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid 1c5ecded-6dd7-4ac9-998f-f511dd52201f))
+    (pin "8" (uuid 7cfa880e-6333-4118-8735-9dacd7359246))
+    (pin "3" (uuid ac484a57-5190-495f-ae56-6f802d4160e5))
+    (pin "5" (uuid 1f8d4c95-a418-48df-841c-69e26c7c1bed))
+    (pin "7" (uuid ef62176d-8248-48ba-8639-ec11138084e0))
+  )
+
+  (symbol (lib_id "power:GND") (at 99.06 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 62aeff0b-8fbc-43e1-a94c-65b6cfd93f99)
+    (property "Reference" "#PWR036" (id 0) (at 99.06 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 99.06 233.0434 0))
+    (property "Footprint" "" (id 2) (at 99.06 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 99.06 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6b5dc56d-c41b-4fb3-b935-1e60670c5b09))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 83.82 66.04 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 6337eec9-06f4-430b-a1a8-2c893c3c0836)
+    (property "Reference" "#PWR01" (id 0) (at 83.82 69.85 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 83.82 62.4642 0))
+    (property "Footprint" "" (id 2) (at 83.82 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f61f698b-e114-4358-9b92-e3b89586a690))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:SP485") (at 287.02 120.65 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 65886466-a3a0-44a6-bd3e-eb8f54898fb1)
+    (property "Reference" "U9" (id 0) (at 289.0394 106.7902 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "SP485" (id 1) (at 289.0394 109.3271 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 287.02 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 287.02 119.38 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 65d3a182-5275-4512-a5e3-c20c0c694ffd))
+    (pin "2" (uuid 4f83de49-5808-4aa1-bd69-ab1f6ad0ad3d))
+    (pin "3" (uuid d575f245-53c4-44bd-9a1e-edd3b26a8474))
+    (pin "4" (uuid 8b64bfe6-003a-461c-a04d-2b8880aeaf8e))
+    (pin "5" (uuid 19d30b44-6d6b-4a32-8940-fe84898c730c))
+    (pin "6" (uuid 36c5a5f1-5216-4249-9a4f-5e56479401ee))
+    (pin "7" (uuid f567ebe0-93fd-43fa-b74d-07b5c71a5caf))
+    (pin "8" (uuid 5be3fcf0-46cd-4786-b369-3cea9f1e6915))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 358.14 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 69fb42f2-7a38-41dd-b0ed-25c5969057e0)
+    (property "Reference" "#PWR043" (id 0) (at 358.14 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 358.14 212.3242 0))
+    (property "Footprint" "" (id 2) (at 358.14 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 358.14 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b536c9f9-b3b6-4f49-af8a-4aff96de14e4))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 347.98 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 6b4e705f-7564-4516-98af-d4372beb4519)
+    (property "Reference" "#PWR053" (id 0) (at 347.98 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 347.98 233.0434 0))
+    (property "Footprint" "" (id 2) (at 347.98 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 347.98 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 5f146ceb-b76b-4892-9754-7d660a9354e5))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 345.44 124.46 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 6c19c75a-f2e7-4eec-bdac-75ad271b3be1)
+    (property "Reference" "F3" (id 0) (at 347.98 123.19 90))
+    (property "Value" "300mA" (id 1) (at 345.44 124.46 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 350.52 123.19 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 345.44 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 633a6358-056d-4614-b305-b536c45a5789))
+    (pin "2" (uuid 8a734974-a070-4960-b983-f461a68e1a18))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 287.02 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 70cef4b1-374f-4188-a820-7b0fcd00b427)
+    (property "Reference" "C8" (id 0) (at 284.6959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 284.6959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 287.02 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 287.02 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b83e099f-ef63-49a5-afd9-22222a6a3949))
+    (pin "2" (uuid 444f496b-f0fd-4c36-8e83-4c602e96ebd3))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 185.42 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 72aac2b5-a74b-4a61-a8b7-bce8beb3ccc3)
+    (property "Reference" "#PWR018" (id 0) (at 185.42 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 185.42 187.3234 0))
+    (property "Footprint" "" (id 2) (at 185.42 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 185.42 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0a6af41f-7628-4ff3-bf84-1d3921b6b238))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:SP485") (at 287.02 69.85 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 72eb8031-447e-41e3-aaa5-59f792bf0a9e)
+    (property "Reference" "U8" (id 0) (at 289.0394 55.9902 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "SP485" (id 1) (at 289.0394 58.5271 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 287.02 87.63 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 287.02 68.58 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ae6839cd-d76e-41ae-9d9d-d53496654de7))
+    (pin "2" (uuid 5a0d2da8-4bb5-4a74-b5da-474a571f78e2))
+    (pin "3" (uuid 57bb90af-663a-41d6-b400-0d4f24259321))
+    (pin "4" (uuid eecc54f1-353d-4bbc-bb3a-293df7de7d03))
+    (pin "5" (uuid 4e3ce3b2-0062-4894-90c9-d123f1516483))
+    (pin "6" (uuid b270f771-721b-49fe-aa42-63a5cebe1f4d))
+    (pin "7" (uuid ab43ca15-9c06-47f9-b257-1383a2b21523))
+    (pin "8" (uuid b816ca3f-a882-4972-80a7-12605138b1a3))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 116.84 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 76379fa9-c05e-4e04-ac01-4d076e8e60f0)
+    (property "Reference" "R8" (id 0) (at 116.84 149.86 90))
+    (property "Value" "10k" (id 1) (at 116.84 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 116.84 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 116.84 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 07fd6245-7f43-464f-b598-1e2f8ff639f8))
+    (pin "2" (uuid fb53a430-5544-449d-bdce-a9af0f8bff78))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 378.46 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 84f80a6c-ed07-4eab-8340-2ba6dd529bea)
+    (property "Reference" "#PWR045" (id 0) (at 378.46 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 378.46 212.3242 0))
+    (property "Footprint" "" (id 2) (at 378.46 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 378.46 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b98e6d09-1cbf-43f1-948a-d86b2b6ed62c))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 119.38 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 852dd492-fde8-47cf-b19d-30bcba755e94)
+    (property "Reference" "R9" (id 0) (at 119.38 149.86 90))
+    (property "Value" "10k" (id 1) (at 119.38 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 119.38 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 119.38 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 4d3d2398-3e46-4779-b629-7e35a8ddeaf9))
+    (pin "2" (uuid 5c577821-309b-4194-a3ca-fd61ebdacaf0))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 215.9 50.8 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 85829c2c-f98b-4d41-b319-c68a4664897f)
+    (property "Reference" "#PWR05" (id 0) (at 215.9 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 215.9 47.2242 0))
+    (property "Footprint" "" (id 2) (at 215.9 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 215.9 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f5c5783c-d423-4268-9b72-c86f51d76f59))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 200.66 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 86f982ec-ac8d-466a-8d96-9452ee87ba77)
+    (property "Reference" "#PWR059" (id 0) (at 200.66 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 200.66 138.6642 0))
+    (property "Footprint" "" (id 2) (at 200.66 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 200.66 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8cad77c4-5ca4-46f8-b4b0-1e711f815956))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 172.72 63.5 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 8c3400d7-e8a7-44a6-8a0d-eb85ee8686d0)
+    (property "Reference" "U3" (id 0) (at 175.26 60.96 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 172.085 70.6073 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 172.72 63.5 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 172.72 63.5 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid bf492102-b19a-48e9-b233-d0f526959bf1))
+    (pin "8" (uuid 025d43e2-ba40-4816-b1ab-a33ea444538f))
+    (pin "1" (uuid 9db95484-246d-4766-b1f4-20270ec626f9))
+    (pin "2" (uuid a498fe62-ee3e-4058-8ebb-0632196deba8))
+    (pin "6" (uuid 10450a7b-a3f3-493a-bae4-89dae4bca570))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:SP485") (at 287.02 166.37 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 91ccb427-348e-4935-bc6f-060bf5b67166)
+    (property "Reference" "U10" (id 0) (at 289.0394 152.5102 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "SP485" (id 1) (at 289.0394 155.0471 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 287.02 184.15 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 287.02 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid c62ee005-9f43-45eb-9ba8-2014c75b53e9))
+    (pin "2" (uuid df044b3e-2427-41c9-9a46-27bc5bbacf6f))
+    (pin "3" (uuid 6a75b3f8-84c3-4fdc-a730-822667504955))
+    (pin "4" (uuid acacc0ee-e9f3-4c52-bdc7-0c5355f185c5))
+    (pin "5" (uuid bd39a16d-3e34-4307-b29d-d1080e5845de))
+    (pin "6" (uuid 77ecd206-df40-493c-9440-929851e72f00))
+    (pin "7" (uuid dd4a6f3e-0bb0-434c-b292-098cf15d078e))
+    (pin "8" (uuid c7421703-3b90-467b-a281-11d26d08408c))
+  )
+
+  (symbol (lib_id "power:GND") (at 119.38 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 9ce21ac8-baf9-4e4d-bd25-b7053cb55c7e)
+    (property "Reference" "#PWR038" (id 0) (at 119.38 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 119.38 233.0434 0))
+    (property "Footprint" "" (id 2) (at 119.38 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 119.38 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 04c69a18-4ff1-4361-974f-837cc1a7ae9e))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 109.22 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 9e0eab30-6499-4697-be3c-63ed32c79aae)
+    (property "Reference" "C5" (id 0) (at 106.8959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 106.8959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 109.22 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 109.22 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 2c114e2a-0c78-4e44-ae2d-82f7c1f7c97b))
+    (pin "2" (uuid 911f21f0-9a60-4c5b-aa94-760abc265a0f))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 170.18 83.82 0) (mirror y) (unit 2)
+    (in_bom yes) (on_board yes)
+    (uuid a0795657-8926-4456-8f97-fd74dc222aaa)
+    (property "Reference" "U2" (id 0) (at 175.26 81.28 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 170.815 90.9273 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 170.18 83.82 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 170.18 83.82 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid 247784a2-51c4-4267-aed6-8e861a08ad52))
+    (pin "8" (uuid a80f3e8f-9c85-4646-a04f-381c8aa06464))
+    (pin "3" (uuid 6c2017a5-692c-4da0-8b7b-67bebb9c7e85))
+    (pin "5" (uuid 7c28e670-b242-40e1-8428-310b8d466591))
+    (pin "7" (uuid 3ef4ef61-afaa-4718-8d33-ee2c63169e72))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 111.76 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid a0c992ea-8c8c-470e-ad1b-79d41380f38f)
+    (property "Reference" "R6" (id 0) (at 111.76 149.86 90))
+    (property "Value" "10k" (id 1) (at 111.76 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 111.76 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 111.76 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid dfcbde97-7ed1-494e-9653-c1bf89655bd2))
+    (pin "2" (uuid a4b9b0fc-fbe7-40d4-b2a8-474bb4dde601))
+  )
+
+  (symbol (lib_id "power:VCC") (at 88.9 66.04 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid a292251c-0ea1-46a8-8b8f-a60d7799bb95)
+    (property "Reference" "#PWR02" (id 0) (at 88.9 69.85 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "VCC" (id 1) (at 88.9 62.4642 0))
+    (property "Footprint" "" (id 2) (at 88.9 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 88.9 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 97aadb15-ffc8-419e-91a3-9827b010442d))
+  )
+
+  (symbol (lib_id "power:GND") (at 40.64 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a3994a12-8360-41fa-9b32-f5232a183a02)
+    (property "Reference" "#PWR0101" (id 0) (at 40.64 173.99 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 40.64 172.0834 0))
+    (property "Footprint" "" (id 2) (at 40.64 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 40.64 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8bf2a56d-8ca0-491c-a473-a15b46ce9d1e))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 109.22 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a80ade70-8648-4219-8636-61cb026c9f71)
+    (property "Reference" "#PWR031" (id 0) (at 109.22 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 109.22 214.8642 0))
+    (property "Footprint" "" (id 2) (at 109.22 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 109.22 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 5087bf72-b972-497b-bf80-a27ca3cb04e2))
+  )
+
+  (symbol (lib_id "power:GND") (at 78.74 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a881f2c7-afda-4531-b97d-14473bb55817)
+    (property "Reference" "#PWR034" (id 0) (at 78.74 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 78.74 233.0434 0))
+    (property "Footprint" "" (id 2) (at 78.74 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 78.74 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ed7b8436-c5d4-4930-b701-f7a6723b190f))
+  )
+
+  (symbol (lib_id "power:GND") (at 66.04 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a8bcad25-40c3-421c-a852-f2c7a9f22e7b)
+    (property "Reference" "#PWR0104" (id 0) (at 66.04 173.99 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 66.04 172.0834 0))
+    (property "Footprint" "" (id 2) (at 66.04 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 66.04 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 083cdd35-1aff-41a3-b6da-f94714afe228))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 370.84 121.92 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid a9c95a60-06c7-4a45-b110-b16148166e07)
+    (property "Reference" "F2" (id 0) (at 367.03 120.65 90))
+    (property "Value" "300mA" (id 1) (at 370.84 121.92 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 375.92 120.65 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 370.84 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid dd4ce6a2-7f6c-487c-8d6a-bd576d75285d))
+    (pin "2" (uuid 71102aad-89bd-4b49-8efc-2aae6d8ad3d1))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 276.86 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ac0fbefd-e7dd-4434-9fef-cd2288db78bb)
+    (property "Reference" "#PWR047" (id 0) (at 276.86 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 276.86 233.0434 0))
+    (property "Footprint" "" (id 2) (at 276.86 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 276.86 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 33b6ec66-9206-4a89-b6c6-9d914925b25b))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 370.84 124.46 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid af8d14ee-39e9-4785-ae0c-6b90163ddde7)
+    (property "Reference" "F4" (id 0) (at 367.03 123.19 90))
+    (property "Value" "300mA" (id 1) (at 370.84 124.46 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 375.92 123.19 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 370.84 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3a77baed-e107-4956-ab22-fc2a4a464910))
+    (pin "2" (uuid c00d08bd-dac9-4916-a5d4-eb6b6b0b058a))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 287.02 105.41 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid b266cb1e-f66f-4747-a1c8-f94540985ebe)
+    (property "Reference" "#PWR021" (id 0) (at 287.02 109.22 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 287.02 101.8342 0))
+    (property "Footprint" "" (id 2) (at 287.02 105.41 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 105.41 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b06e56ca-8204-4746-8dfa-99885562776b))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 200.66 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid b3352b3b-c519-4713-b556-66f6b26e64ff)
+    (property "Reference" "R11" (id 0) (at 200.66 149.86 90))
+    (property "Value" "10k" (id 1) (at 200.66 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 200.66 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 200.66 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a43db5f1-5a50-4020-a2ab-4b1cb1279f33))
+    (pin "2" (uuid 940194c3-a19f-4a76-9cab-bf26a1bf5df6))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 299.72 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid b7269c93-b543-4757-ac4b-fdc20e982f00)
+    (property "Reference" "R3" (id 0) (at 301.2186 166.8053 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "120R" (id 1) (at 301.2186 169.3422 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 299.72 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 299.72 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a88a8e40-7d32-4cfa-a4ce-e758e46ad94f))
+    (pin "2" (uuid 43caede6-64fb-4559-ba9a-fa8fc7c626ea))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 378.46 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid b7cd067b-8ec2-49ed-a3a4-2b30c9f18990)
+    (property "Reference" "C15" (id 0) (at 376.1359 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 376.1359 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 378.46 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 378.46 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 798a9886-8e56-4719-a0a5-5226e0a638fa))
+    (pin "2" (uuid e69d3b82-5c6c-4e85-9df6-81f7eab030c3))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 215.9 88.9 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid b7e101ce-623b-4ea1-9115-bb26f6699577)
+    (property "Reference" "#PWR07" (id 0) (at 215.9 95.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 215.9 93.3434 0))
+    (property "Footprint" "" (id 2) (at 215.9 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 215.9 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 02ccab89-29a3-4a08-ab00-f0682a48c303))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 378.46 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid bad349ec-b0bd-451c-8ce3-d70176190b7b)
+    (property "Reference" "#PWR056" (id 0) (at 378.46 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 378.46 233.0434 0))
+    (property "Footprint" "" (id 2) (at 378.46 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 378.46 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8800a389-cdaa-4c25-add4-62fa98e67929))
+  )
+
+  (symbol (lib_id "power:GND") (at 83.82 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid bbf66c0a-51b1-4f41-8b9f-457ed863d877)
+    (property "Reference" "#PWR015" (id 0) (at 83.82 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 83.82 187.3234 0))
+    (property "Footprint" "" (id 2) (at 83.82 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid bcf1c0e4-f60a-4fa7-9cce-cf201281be86))
+  )
+
+  (symbol (lib_id "Interface_Expansion:TCA9534") (at 96.52 162.56 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid bf733eb6-de1f-497a-b175-cebba0a1b4fb)
+    (property "Reference" "U6" (id 0) (at 98.5394 147.4302 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "TCA9534" (id 1) (at 98.5394 149.9671 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (id 2) (at 120.65 176.53 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tca9534.pdf" (id 3) (at 99.06 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 7379f6f4-2b4c-4882-a293-d78e1c79e351))
+    (pin "10" (uuid 9d4774f7-3d11-40bd-a233-40a1e4091931))
+    (pin "11" (uuid 1ab1a24c-ccfd-4e86-bafd-1cdcfe702a8c))
+    (pin "12" (uuid f6be1086-9daa-4216-98f9-adb0b85ac31d))
+    (pin "13" (uuid d6410ce7-f358-4b5e-99c4-f104d6ccb0b9))
+    (pin "14" (uuid a103831a-5a8a-4886-aa57-f8b2b69f26ae))
+    (pin "15" (uuid 7749be9c-17a6-4091-9298-3d5fa8df10ec))
+    (pin "16" (uuid 3bcb06a4-6607-4f1e-a0cd-7aa6ae9163ca))
+    (pin "2" (uuid ae5c7ba1-7d72-4997-abbd-363af221dee8))
+    (pin "3" (uuid 035e857e-4229-4b2f-a231-34a55e5c94b9))
+    (pin "4" (uuid 713490c4-50b3-49fa-b5fa-e84a027fd73f))
+    (pin "5" (uuid e31c178c-5a71-477d-9fce-94adab0d6b1a))
+    (pin "6" (uuid 05cf9b9f-579d-497d-8747-018b35366461))
+    (pin "7" (uuid 9f4e2583-fe02-42f1-a7bb-da8beaf76830))
+    (pin "8" (uuid 79012a1e-8a2c-4ecd-9724-b60b6c238cc8))
+    (pin "9" (uuid 39bb7bf2-b45f-454c-a735-2c11b0e24c5b))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:Si8400") (at 152.4 132.08 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c3a7e8e7-d23b-4d26-a771-2af366126261)
+    (property "Reference" "U5" (id 0) (at 152.4 123.3002 0))
+    (property "Value" "Si8400" (id 1) (at 152.4 125.8371 0))
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 152.4 140.97 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/Si840x.pdf" (id 3) (at 152.4 130.81 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 08bc46b8-abf7-43f4-9e66-161057353624))
+    (pin "2" (uuid 9216f0b9-7a9d-4ec0-8b2d-ce53f949d341))
+    (pin "3" (uuid 12b2b92e-584b-44d7-ae89-3779f7c8760d))
+    (pin "4" (uuid 941fb555-5f73-4fdd-ac96-38eb2560c4f5))
+    (pin "5" (uuid 680c1564-0a06-474e-9505-f9b05b5855b3))
+    (pin "6" (uuid d81a4665-db33-417e-b398-5660dd878817))
+    (pin "7" (uuid 5358cb8d-88e6-40a4-9c25-c976a8e34997))
+    (pin "8" (uuid 3309dc89-14f6-4b54-979f-a773d943f978))
+  )
+
+  (symbol (lib_id "Regulator_Linear:MC78M05_TO252") (at 297.18 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c63e0ada-76e8-4bdc-b1f5-6b53dee96d5a)
+    (property "Reference" "U11" (id 0) (at 297.18 212.8352 0))
+    (property "Value" "MC78M05_TO252" (id 1) (at 297.18 215.3721 0))
+    (property "Footprint" "Package_TO_SOT_SMD:TO-252-2" (id 2) (at 297.18 212.725 0)
+      (effects (font (size 1.27 1.27) italic) hide)
+    )
+    (property "Datasheet" "https://www.onsemi.com/pub/Collateral/MC78M00-D.PDF" (id 3) (at 297.18 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f3e63a42-302d-4e44-a1f0-c31c754cf5ff))
+    (pin "2" (uuid 909ecdf0-88ad-4d1d-93ca-90cc09e88fb9))
+    (pin "3" (uuid 24f9edb4-d7a1-4af7-a04f-3a64d9fa9d6e))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 109.22 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c71a1c1b-9dcd-48ff-afbf-de4ad76e3ef1)
+    (property "Reference" "#PWR058" (id 0) (at 109.22 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 109.22 138.6642 0))
+    (property "Footprint" "" (id 2) (at 109.22 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 109.22 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e645f691-0db4-432f-8c2a-7ad480a2d1dc))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 119.38 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c95580a6-a0d5-4f4b-a463-f12bb798ae9d)
+    (property "Reference" "C6" (id 0) (at 117.0559 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 117.0559 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 119.38 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 119.38 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 4f6a56fd-4f07-4e13-bc85-e62a89547d26))
+    (pin "2" (uuid 7354a03a-4122-4462-ae7f-e3c2816630dc))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 68.58 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c9765482-ae7f-4c87-a95a-8890374f521a)
+    (property "Reference" "#PWR027" (id 0) (at 68.58 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 68.58 214.8642 0))
+    (property "Footprint" "" (id 2) (at 68.58 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 68.58 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 90fc1492-49ac-4461-89bd-fb48dfc3433c))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 337.82 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid cdc9edc8-7bbc-4f10-94cc-a31c71e81e1b)
+    (property "Reference" "C11" (id 0) (at 335.4959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 335.4959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 337.82 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 337.82 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid cdba9cc4-d2a0-4c88-8319-b8ed21d7144e))
+    (pin "2" (uuid c388613f-9847-4594-a58d-35c8dfcfde5b))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 78.74 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid cf360ec9-ba50-4316-9c5f-a7fa2785f9e7)
+    (property "Reference" "#PWR028" (id 0) (at 78.74 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 78.74 214.8642 0))
+    (property "Footprint" "" (id 2) (at 78.74 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 78.74 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 7746a087-d19c-49ed-80d3-0088bd015e07))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 87.63 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid cfa41c51-82f9-4977-8885-55608c93e784)
+    (property "Reference" "#PWR020" (id 0) (at 287.02 93.98 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 92.0734 0))
+    (property "Footprint" "" (id 2) (at 287.02 87.63 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 87.63 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f6c1f83b-46cf-41ee-951e-438a7256145e))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 337.82 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d23ea97d-5f2e-416b-a810-9b1c0ed55c39)
+    (property "Reference" "#PWR052" (id 0) (at 337.82 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 337.82 233.0434 0))
+    (property "Footprint" "" (id 2) (at 337.82 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 337.82 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 1d318f8f-a297-4e68-aeb4-5763d73874be))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 347.98 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d46b1e87-7942-4b99-8d91-cf6c316df13f)
+    (property "Reference" "C12" (id 0) (at 345.6559 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 345.6559 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 347.98 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 347.98 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid d83c6eb0-9725-4b57-b6f3-5af2111502a6))
+    (pin "2" (uuid 9d193211-bf8e-4eb3-a342-3f8874a6a701))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 307.34 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d4d1351b-e262-4f90-bcb7-2efe4cec8bad)
+    (property "Reference" "C9" (id 0) (at 309.6641 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "100n" (id 1) (at 309.6641 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 307.34 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 307.34 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 2e7fe76f-d4fc-493c-90c1-a848d3464220))
+    (pin "2" (uuid 78bfc133-a3c9-4c59-a190-bc033d3dd715))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 299.72 71.12 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d72c9799-a3a8-4751-8eb1-6ad1db1ffa3c)
+    (property "Reference" "R1" (id 0) (at 301.2186 70.2853 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "120R" (id 1) (at 301.2186 72.8222 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 299.72 71.12 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 299.72 71.12 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a8088b52-c293-4ad7-a9a6-a30d31fcea59))
+    (pin "2" (uuid 3d4a6819-c532-4313-8140-f4223cbb1d1e))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 368.3 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid dd8a0162-6d48-4246-a1a0-adf487acead4)
+    (property "Reference" "#PWR044" (id 0) (at 368.3 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 368.3 212.3242 0))
+    (property "Footprint" "" (id 2) (at 368.3 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 368.3 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3fc2df54-a063-4549-8639-031f3c7627e9))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 388.62 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid e19fa6af-6b09-45a1-8dd5-c358644d525f)
+    (property "Reference" "#PWR057" (id 0) (at 388.62 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 388.62 233.0434 0))
+    (property "Footprint" "" (id 2) (at 388.62 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 388.62 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 1991e45c-7107-4a9d-a8e8-3cd515bd241e))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 121.92 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid e2d99e22-1fca-4957-ad42-32c2a1e74969)
+    (property "Reference" "R10" (id 0) (at 121.92 149.86 90))
+    (property "Value" "10k" (id 1) (at 121.92 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 121.92 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 121.92 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b9d28b7d-f467-4441-866c-df5a82167ab6))
+    (pin "2" (uuid 22135e11-e98f-4264-be14-1739183f7f38))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 358.14 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid e4c38379-0936-4b80-a331-5d9c5a7d135d)
+    (property "Reference" "#PWR054" (id 0) (at 358.14 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 358.14 233.0434 0))
+    (property "Footprint" "" (id 2) (at 358.14 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 358.14 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 41b6a805-13bd-41df-ae0b-e005ed8bd177))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 53.34 147.32 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid e56d8703-9361-4dc6-abbc-0370435d2a62)
+    (property "Reference" "#PWR0103" (id 0) (at 53.34 151.13 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 50.8 147.32 90))
+    (property "Footprint" "" (id 2) (at 53.34 147.32 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 53.34 147.32 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e3205227-1e3d-4388-818f-951287836e25))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 78.74 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ea3da44b-64e4-4628-8c68-a47f3d2e8a9c)
+    (property "Reference" "C2" (id 0) (at 76.4159 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 76.4159 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 78.74 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 78.74 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 871b9953-a74c-4313-9a7a-12b778d82f89))
+    (pin "2" (uuid 7c2978d0-3426-48bc-95f2-f24d748426e3))
+  )
+
+  (symbol (lib_id "Device:C_Polarized_Small") (at 317.5 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid eb376085-ea92-43a5-b5a1-562f73b2246c)
+    (property "Reference" "C10" (id 0) (at 319.659 222.1392 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "4u7" (id 1) (at 319.659 224.6761 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (id 2) (at 317.5 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 317.5 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a87f742c-1245-470c-8bcd-213a62710e77))
+    (pin "2" (uuid 3d077724-8e04-484e-aa89-9824117d4cf6))
+  )
+
+  (symbol (lib_id "power:GND") (at 68.58 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ef641915-0cdb-48a1-be2a-fdec02ca8d43)
+    (property "Reference" "#PWR033" (id 0) (at 68.58 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 68.58 233.0434 0))
+    (property "Footprint" "" (id 2) (at 68.58 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 68.58 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a689b31f-f9f6-4650-bc0d-09f338c2f38d))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 299.72 121.92 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f002a3a7-cf84-40c4-bd67-963c1af67713)
+    (property "Reference" "R2" (id 0) (at 301.2186 121.0853 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "120R" (id 1) (at 301.2186 123.6222 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 299.72 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 299.72 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8e977a68-ce74-4f6c-bd27-1bb7b41e4881))
+    (pin "2" (uuid 8c6ffaf9-0d7e-45fb-b2c3-ea35610b8c83))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:Si8663") (at 210.82 71.12 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f1105a6c-0c26-43c0-bd87-a7307164ea7e)
+    (property "Reference" "U4" (id 0) (at 217.9194 52.1802 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "Si8663" (id 1) (at 217.9194 54.7171 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (id 2) (at 216.535 85.09 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/si866x.pdf" (id 3) (at 220.98 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a3c41f23-bae7-453b-91a4-434f3581c94d))
+    (pin "10" (uuid 79741504-1f2b-4094-974c-689492a6bd1a))
+    (pin "11" (uuid 105c86fa-9884-4fad-a69f-0139caa63b60))
+    (pin "12" (uuid 84f23625-82d5-4d51-bf0c-863492d55317))
+    (pin "13" (uuid d30c8658-02e0-48c0-8071-415bb23970ec))
+    (pin "14" (uuid eed4ac7d-c6c0-4bdf-b9c0-2d4e710b94a2))
+    (pin "15" (uuid b0972c7d-25f8-401a-92be-1bc54179268c))
+    (pin "16" (uuid 8c718c35-c183-4a0e-890d-6e260578dfc8))
+    (pin "2" (uuid 41b731e4-48b5-4997-a5b8-e9a38306caf1))
+    (pin "3" (uuid 0fb5633e-a342-4136-bcf9-1f98010ca419))
+    (pin "4" (uuid 5208ce5a-f101-48c2-a90d-b68c1aad66a3))
+    (pin "5" (uuid 5d3c2692-0b24-4a5b-96b8-210814fcf873))
+    (pin "6" (uuid 897e0da8-e62c-4c1a-aa8b-bae3ea560e81))
+    (pin "7" (uuid 619e3306-c53b-4162-9871-3f6b5bfbea63))
+    (pin "8" (uuid dbc851dd-f0af-4bf4-8ea8-cb2ac7cd18a8))
+    (pin "9" (uuid 2679ab7c-70c9-46a5-9cad-eb9494536b63))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 185.42 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f180b6c9-6f33-4ace-8601-a7b95b4537c8)
+    (property "Reference" "#PWR013" (id 0) (at 185.42 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 185.42 138.6642 0))
+    (property "Footprint" "" (id 2) (at 185.42 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 185.42 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 96e0f528-2d35-4f8b-a5e2-2a553c682a78))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 388.62 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f2fc371f-e5a1-47d5-a802-b54c14e93663)
+    (property "Reference" "C16" (id 0) (at 386.2959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 386.2959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 388.62 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 388.62 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid cff650b4-f497-4047-93e0-65644f02c3f9))
+    (pin "2" (uuid 80b45c65-d768-4f24-b44c-af0234370376))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 205.74 175.26 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f5169de3-691a-4f33-8a0c-fea8c980189d)
+    (property "Reference" "R16" (id 0) (at 205.74 175.26 90))
+    (property "Value" "10k" (id 1) (at 205.74 180.34 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 205.74 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 205.74 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid af51c94a-4063-458a-aa61-20190306b926))
+    (pin "2" (uuid 66543b78-e127-4982-b608-ba5505e0b707))
+  )
+
+  (symbol (lib_id "Connector_Generic:Conn_02x04_Odd_Even") (at 358.14 124.46 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f59ee99e-0d59-4645-9027-6900c1022f95)
+    (property "Reference" "J2" (id 0) (at 359.41 116.9502 0))
+    (property "Value" "EXT_OUT" (id 1) (at 359.41 119.4871 0))
+    (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" (id 2) (at 358.14 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 358.14 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid eab660b0-3959-4b86-aceb-bc26ac1d6b39))
+    (pin "2" (uuid 352cda21-dedb-4485-a43f-d833b809d07b))
+    (pin "3" (uuid c15966b6-7997-4bb6-ae70-7a748e30c0c5))
+    (pin "4" (uuid 98ba1f83-29b0-4e63-b3fc-78524cf3b016))
+    (pin "5" (uuid 657cd4e0-7159-4348-8b37-b29f731895e1))
+    (pin "6" (uuid 47eda29f-ba76-4d15-a44f-eeef8a11ec18))
+    (pin "7" (uuid e70fb45f-ece6-45b3-afaf-43ec343d86d9))
+    (pin "8" (uuid d210dd2e-83a1-4b12-9886-5ab8beb9e18a))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 345.44 121.92 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f5cfbf96-3236-4154-baff-8ffa31ae94a9)
+    (property "Reference" "F1" (id 0) (at 347.98 120.65 90))
+    (property "Value" "300mA" (id 1) (at 345.44 121.92 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 350.52 120.65 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 345.44 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 976f3e97-6183-4539-a010-89041c7d8c74))
+    (pin "2" (uuid 6a05cfc7-c306-456d-b99a-fd36f182ef34))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 99.06 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f6a1004f-a5f8-44b8-aa3f-11e5a9e4a0e5)
+    (property "Reference" "C4" (id 0) (at 96.7359 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 96.7359 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 99.06 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 99.06 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ac5a7932-71f8-4964-be90-852ebeecd3e5))
+    (pin "2" (uuid 54bfb732-7fe2-4c05-8bd5-67320f125a48))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 96.52 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f7e7344d-c52f-4101-bd66-2c91bef32f73)
+    (property "Reference" "#PWR012" (id 0) (at 96.52 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 96.52 138.6642 0))
+    (property "Footprint" "" (id 2) (at 96.52 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 96.52 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f1e6bcd2-6b83-4ea8-af77-93b9e00086ed))
+  )
+
+  (symbol (lib_id "power:+12VA") (at 266.7 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid fa7bcdd4-5b4e-46dd-8cae-c05054e89205)
+    (property "Reference" "#PWR039" (id 0) (at 266.7 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+12VA" (id 1) (at 266.7 212.3242 0))
+    (property "Footprint" "" (id 2) (at 266.7 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 266.7 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ae27b2d9-5078-4968-a9c5-24c82db12a60))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 165.1 127 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid fdf81741-7522-44ac-bd1a-9663251e9d45)
+    (property "Reference" "#PWR09" (id 0) (at 165.1 130.81 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 165.1 123.4242 0))
+    (property "Footprint" "" (id 2) (at 165.1 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 165.1 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 9041988e-8213-48cb-a486-81e6b4884b01))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 368.3 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid fe170394-25aa-4846-a429-3476366aa687)
+    (property "Reference" "#PWR055" (id 0) (at 368.3 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 368.3 233.0434 0))
+    (property "Footprint" "" (id 2) (at 368.3 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 368.3 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid fc4ab9ae-dfda-4e5e-8a3f-043c0d2e4244))
+  )
+
+  (symbol (lib_id "Device:C_Polarized_Small") (at 276.86 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ff81f0cf-d80e-4f16-9dd5-111ba49e9f46)
+    (property "Reference" "C7" (id 0) (at 274.7011 222.1392 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "10u" (id 1) (at 274.7011 224.6761 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (id 2) (at 276.86 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 276.86 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0b5ad33f-a12c-4e5e-b4ae-8db396b3a057))
+    (pin "2" (uuid 0f0c3464-0909-4aa5-acf8-08f655141682))
+  )
+
+  (sheet_instances
+    (path "/" (page "1"))
+  )
+
+  (symbol_instances
+    (path "/6337eec9-06f4-430b-a1a8-2c893c3c0836"
+      (reference "#PWR01") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/a292251c-0ea1-46a8-8b8f-a60d7799bb95"
+      (reference "#PWR02") (unit 1) (value "VCC") (footprint "")
+    )
+    (path "/3b0f90e8-57d9-42d7-85e4-9d338a5720fc"
+      (reference "#PWR03") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/014f1669-ae08-450a-b680-baefaf87ddba"
+      (reference "#PWR04") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/85829c2c-f98b-4d41-b319-c68a4664897f"
+      (reference "#PWR05") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/470e8523-14fd-415f-95ca-b3f2bf949baa"
+      (reference "#PWR06") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/b7e101ce-623b-4ea1-9115-bb26f6699577"
+      (reference "#PWR07") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/30c4ebec-1f18-46e9-9ded-f42496c0f4ab"
+      (reference "#PWR08") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/fdf81741-7522-44ac-bd1a-9663251e9d45"
+      (reference "#PWR09") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/5d59c1fa-bf2f-4879-8e0b-02bc837a7e18"
+      (reference "#PWR010") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/56f00518-05e0-4150-9220-83cbacc15a12"
+      (reference "#PWR011") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/f7e7344d-c52f-4101-bd66-2c91bef32f73"
+      (reference "#PWR012") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/f180b6c9-6f33-4ace-8601-a7b95b4537c8"
+      (reference "#PWR013") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/05d28ea0-5a12-4b12-97a9-e85074515c0a"
+      (reference "#PWR014") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/bbf66c0a-51b1-4f41-8b9f-457ed863d877"
+      (reference "#PWR015") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/4602adbc-fa58-4643-ab33-fa612b443b3b"
+      (reference "#PWR016") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/58a79613-ad24-4858-975c-924f9df4e16e"
+      (reference "#PWR017") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/72aac2b5-a74b-4a61-a8b7-bce8beb3ccc3"
+      (reference "#PWR018") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/5214a3c3-5537-4b4e-9d46-adcb220d0aee"
+      (reference "#PWR019") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/cfa41c51-82f9-4977-8885-55608c93e784"
+      (reference "#PWR020") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/b266cb1e-f66f-4747-a1c8-f94540985ebe"
+      (reference "#PWR021") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/100c3c95-47ca-4757-9c32-6a573cc6e1a3"
+      (reference "#PWR022") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/3287ef49-b33d-4013-ada3-83b8c68abec6"
+      (reference "#PWR023") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/0c8f918a-87ac-40a7-a162-8a3ba3a2ceb6"
+      (reference "#PWR024") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/0e0d3540-e1d3-45db-a988-7409b5b5261f"
+      (reference "#PWR025") (unit 1) (value "+12VA") (footprint "")
+    )
+    (path "/178d56f8-3843-4f10-90d1-5d378381e828"
+      (reference "#PWR026") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/c9765482-ae7f-4c87-a95a-8890374f521a"
+      (reference "#PWR027") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/cf360ec9-ba50-4316-9c5f-a7fa2785f9e7"
+      (reference "#PWR028") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/562e6c82-0af5-4762-807f-9116b88f2d90"
+      (reference "#PWR029") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/21877b10-0106-4ed4-8f3d-dd714e0edcfd"
+      (reference "#PWR030") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/a80ade70-8648-4219-8636-61cb026c9f71"
+      (reference "#PWR031") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/28090229-3ceb-450f-bab7-7afd95c45aa7"
+      (reference "#PWR032") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/ef641915-0cdb-48a1-be2a-fdec02ca8d43"
+      (reference "#PWR033") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/a881f2c7-afda-4531-b97d-14473bb55817"
+      (reference "#PWR034") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/3df34b4e-4727-47b7-abcd-c92bf61ec68c"
+      (reference "#PWR035") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/62aeff0b-8fbc-43e1-a94c-65b6cfd93f99"
+      (reference "#PWR036") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/3d574036-99e0-44cc-9972-76bca24e59d0"
+      (reference "#PWR037") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/9ce21ac8-baf9-4e4d-bd25-b7053cb55c7e"
+      (reference "#PWR038") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/fa7bcdd4-5b4e-46dd-8cae-c05054e89205"
+      (reference "#PWR039") (unit 1) (value "+12VA") (footprint "")
+    )
+    (path "/60dff287-a533-42f6-8e08-8a32c0a50907"
+      (reference "#PWR040") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/432d3e97-0aab-47b6-9ed1-394040bdf7d0"
+      (reference "#PWR041") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/01cd3ff7-d8a9-423f-8d8f-f12ef272ae89"
+      (reference "#PWR042") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/69fb42f2-7a38-41dd-b0ed-25c5969057e0"
+      (reference "#PWR043") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/dd8a0162-6d48-4246-a1a0-adf487acead4"
+      (reference "#PWR044") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/84f80a6c-ed07-4eab-8340-2ba6dd529bea"
+      (reference "#PWR045") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/5bcf7198-2baa-4595-8024-98eb67f162d6"
+      (reference "#PWR046") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/ac0fbefd-e7dd-4434-9fef-cd2288db78bb"
+      (reference "#PWR047") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/375c3623-4d3c-4e78-881d-cdf463807056"
+      (reference "#PWR048") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/21785d01-7b85-4f60-8638-d0fc249190d9"
+      (reference "#PWR049") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/4583899e-4fbe-4758-af88-ed7b9ffa3b7e"
+      (reference "#PWR050") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/438c035b-6d58-4370-a7c0-b80fa7da5fae"
+      (reference "#PWR051") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/d23ea97d-5f2e-416b-a810-9b1c0ed55c39"
+      (reference "#PWR052") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/6b4e705f-7564-4516-98af-d4372beb4519"
+      (reference "#PWR053") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/e4c38379-0936-4b80-a331-5d9c5a7d135d"
+      (reference "#PWR054") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/fe170394-25aa-4846-a429-3476366aa687"
+      (reference "#PWR055") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/bad349ec-b0bd-451c-8ce3-d70176190b7b"
+      (reference "#PWR056") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/e19fa6af-6b09-45a1-8dd5-c358644d525f"
+      (reference "#PWR057") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/c71a1c1b-9dcd-48ff-afbf-de4ad76e3ef1"
+      (reference "#PWR058") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/86f982ec-ac8d-466a-8d96-9452ee87ba77"
+      (reference "#PWR059") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/586807b8-aa12-4af2-9a32-0449ba9c7178"
+      (reference "#PWR060") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/385060e0-0fc9-47ee-9079-90ca281e5dd1"
+      (reference "#PWR061") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/a3994a12-8360-41fa-9b32-f5232a183a02"
+      (reference "#PWR0101") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/1c62d1f4-92a3-4d5a-9684-4f720ce7c499"
+      (reference "#PWR0102") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/e56d8703-9361-4dc6-abbc-0370435d2a62"
+      (reference "#PWR0103") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/a8bcad25-40c3-421c-a852-f2c7a9f22e7b"
+      (reference "#PWR0104") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/370d7aea-c5df-44d3-84d7-e54ffd20bce3"
+      (reference "C1") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/ea3da44b-64e4-4628-8c68-a47f3d2e8a9c"
+      (reference "C2") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/175b6bba-723c-4c12-977b-5d1ec581c631"
+      (reference "C3") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/f6a1004f-a5f8-44b8-aa3f-11e5a9e4a0e5"
+      (reference "C4") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/9e0eab30-6499-4697-be3c-63ed32c79aae"
+      (reference "C5") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/c95580a6-a0d5-4f4b-a463-f12bb798ae9d"
+      (reference "C6") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/ff81f0cf-d80e-4f16-9dd5-111ba49e9f46"
+      (reference "C7") (unit 1) (value "10u") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A")
+    )
+    (path "/70cef4b1-374f-4188-a820-7b0fcd00b427"
+      (reference "C8") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/d4d1351b-e262-4f90-bcb7-2efe4cec8bad"
+      (reference "C9") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/eb376085-ea92-43a5-b5a1-562f73b2246c"
+      (reference "C10") (unit 1) (value "4u7") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A")
+    )
+    (path "/cdc9edc8-7bbc-4f10-94cc-a31c71e81e1b"
+      (reference "C11") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/d46b1e87-7942-4b99-8d91-cf6c316df13f"
+      (reference "C12") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/0972fc5a-7164-4601-b8b1-52f8753cce7c"
+      (reference "C13") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/38546697-4fdb-4498-8b17-5aa799e1c53e"
+      (reference "C14") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/b7cd067b-8ec2-49ed-a3a4-2b30c9f18990"
+      (reference "C15") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/f2fc371f-e5a1-47d5-a802-b54c14e93663"
+      (reference "C16") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/f5cfbf96-3236-4154-baff-8ffa31ae94a9"
+      (reference "F1") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/a9c95a60-06c7-4a45-b110-b16148166e07"
+      (reference "F2") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/6c19c75a-f2e7-4eec-bdac-75ad271b3be1"
+      (reference "F3") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/af8d14ee-39e9-4785-ae0c-6b90163ddde7"
+      (reference "F4") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/2cc3c96b-e4a9-4807-a309-5cb64c75a1fb"
+      (reference "F5") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/4218b289-c8bc-40ed-a633-54c36234cde1"
+      (reference "F6") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/30e215e6-8ba1-4d4e-a4f7-4ddb4064f495"
+      (reference "J1") (unit 1) (value "EXT_IN") (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical")
+    )
+    (path "/f59ee99e-0d59-4645-9027-6900c1022f95"
+      (reference "J2") (unit 1) (value "EXT_OUT") (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical")
+    )
+    (path "/d72c9799-a3a8-4751-8eb1-6ad1db1ffa3c"
+      (reference "R1") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/f002a3a7-cf84-40c4-bd67-963c1af67713"
+      (reference "R2") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/b7269c93-b543-4757-ac4b-fdc20e982f00"
+      (reference "R3") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/14c9362c-bdee-41d4-8509-e74a37ac2991"
+      (reference "R4") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/3b5c4058-7a13-472c-8998-684becebf99a"
+      (reference "R5") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/a0c992ea-8c8c-470e-ad1b-79d41380f38f"
+      (reference "R6") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/5b234ca9-2d03-4a23-bde4-9e56846a4c88"
+      (reference "R7") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/76379fa9-c05e-4e04-ac01-4d076e8e60f0"
+      (reference "R8") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/852dd492-fde8-47cf-b19d-30bcba755e94"
+      (reference "R9") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/e2d99e22-1fca-4957-ad42-32c2a1e74969"
+      (reference "R10") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/b3352b3b-c519-4713-b556-66f6b26e64ff"
+      (reference "R11") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/4b1cc371-f92b-48fe-b65f-234306fabc06"
+      (reference "R12") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/32c94a20-5c46-4e34-98fa-24a4f70995b6"
+      (reference "R13") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/5ce7f881-e281-4738-b8ef-9071b387f31d"
+      (reference "R14") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/05e6fc07-ec20-47dc-8c98-fb39870e0f88"
+      (reference "R15") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/f5169de3-691a-4f33-8a0c-fea8c980189d"
+      (reference "R16") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/1009c53b-d5a6-4d41-bc74-1567298b8f04"
+      (reference "U1") (unit 1) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/1241c5c8-3bf9-4ac1-a0e7-c680388dae3e"
+      (reference "U1") (unit 2) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/53eb902d-7a32-4c8a-b250-d4047ea9a4e1"
+      (reference "U2") (unit 1) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/a0795657-8926-4456-8f97-fd74dc222aaa"
+      (reference "U2") (unit 2) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/8c3400d7-e8a7-44a6-8a0d-eb85ee8686d0"
+      (reference "U3") (unit 1) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/619bdb41-b897-4fb9-bc8a-bee824445120"
+      (reference "U3") (unit 2) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/f1105a6c-0c26-43c0-bd87-a7307164ea7e"
+      (reference "U4") (unit 1) (value "Si8663") (footprint "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm")
+    )
+    (path "/c3a7e8e7-d23b-4d26-a771-2af366126261"
+      (reference "U5") (unit 1) (value "Si8400") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/bf733eb6-de1f-497a-b175-cebba0a1b4fb"
+      (reference "U6") (unit 1) (value "TCA9534") (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm")
+    )
+    (path "/38908880-dacf-469d-843a-1aa389d299dd"
+      (reference "U7") (unit 1) (value "TCA9534") (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm")
+    )
+    (path "/72eb8031-447e-41e3-aaa5-59f792bf0a9e"
+      (reference "U8") (unit 1) (value "SP485") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/65886466-a3a0-44a6-bd3e-eb8f54898fb1"
+      (reference "U9") (unit 1) (value "SP485") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/91ccb427-348e-4935-bc6f-060bf5b67166"
+      (reference "U10") (unit 1) (value "SP485") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/c63e0ada-76e8-4bdc-b1f5-6b53dee96d5a"
+      (reference "U11") (unit 1) (value "MC78M05_TO252") (footprint "Package_TO_SOT_SMD:TO-252-2")
+    )
+    (path "/14bf1363-1bc6-4399-8dad-4a6ed70cadf6"
+      (reference "U12") (unit 1) (value "M24C02-FMN") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+  )
+)
diff --git a/hardware/icE1usb-rs422/icE1usb-rs422.kicad_sym b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_sym
new file mode 100644
index 0000000..fcd0ccb
--- /dev/null
+++ b/hardware/icE1usb-rs422/icE1usb-rs422.kicad_sym
@@ -0,0 +1,549 @@
+(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
+  (symbol "SP485" (in_bom yes) (on_board yes)
+    (property "Reference" "U" (id 0) (at -6.096 11.43 0)
+      (effects (font (size 1.27 1.27)))
+    )
+    (property "Value" "SP485" (id 1) (at 0.762 11.43 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "" (id 2) (at 0 -17.78 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 0 1.27 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_keywords" "rs485 rs422" (id 4) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_description" "Low Power Half-Duplex RS-485 Transceivers" (id 5) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (symbol "SP485_0_1"
+      (rectangle (start -7.62 10.16) (end 7.62 -12.7)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type background))
+      )
+      (circle (center -0.3048 -3.683) (radius 0.3556)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (circle (center -0.0254 1.4986) (radius 0.3556)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (polyline
+        (pts
+          (xy -4.064 -5.08)
+          (xy -1.905 -5.08)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -4.064 2.54)
+          (xy -1.27 2.54)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 -3.2004)
+          (xy -1.27 -3.4544)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -0.635 -5.08)
+          (xy 5.334 -5.08)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -4.064 -2.54)
+          (xy -1.27 -2.54)
+          (xy -1.27 -3.175)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 1.27)
+          (xy 0 0)
+          (xy -4.064 0)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 3.175)
+          (xy 3.81 3.175)
+          (xy 3.81 -5.08)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 2.54 1.905)
+          (xy 2.54 -3.81)
+          (xy 0 -3.81)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.905 -3.175)
+          (xy -1.905 -5.715)
+          (xy 0.635 -4.445)
+          (xy -1.905 -3.175)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 2.54)
+          (xy 1.27 3.81)
+          (xy 1.27 1.27)
+          (xy -1.27 2.54)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 1.905)
+          (xy 4.445 1.905)
+          (xy 4.445 2.54)
+          (xy 5.334 2.54)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (rectangle (start 1.27 3.175) (end 1.27 3.175)
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (circle (center 1.651 1.905) (radius 0.3556)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+    )
+    (symbol "SP485_1_1"
+      (pin output line (at -10.16 2.54 0) (length 2.54)
+        (name "RO" (effects (font (size 1.27 1.27))))
+        (number "1" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 0 0) (length 2.54)
+        (name "~{RE}" (effects (font (size 1.27 1.27))))
+        (number "2" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 -2.54 0) (length 2.54)
+        (name "DE" (effects (font (size 1.27 1.27))))
+        (number "3" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 -5.08 0) (length 2.54)
+        (name "DI" (effects (font (size 1.27 1.27))))
+        (number "4" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 0 -15.24 90) (length 2.54)
+        (name "GND" (effects (font (size 1.27 1.27))))
+        (number "5" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 -5.08 180) (length 2.54)
+        (name "A" (effects (font (size 1.27 1.27))))
+        (number "6" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
+        (name "B" (effects (font (size 1.27 1.27))))
+        (number "7" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 0 12.7 270) (length 2.54)
+        (name "VCC" (effects (font (size 1.27 1.27))))
+        (number "8" (effects (font (size 1.27 1.27))))
+      )
+    )
+  )
+  (symbol "Si8400" (in_bom yes) (on_board yes)
+    (property "Reference" "U" (id 0) (at -6.35 6.35 0)
+      (effects (font (size 1.27 1.27)))
+    )
+    (property "Value" "Si8400" (id 1) (at 3.81 6.35 0)
+      (effects (font (size 1.27 1.27)))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 -8.89 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/Si840x.pdf" (id 3) (at 0 1.27 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_keywords" "digital isolator i2c" (id 4) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_description" "I2C Isolator, 2.5 kVrms, Bidirectional clock and data, SOIC-8" (id 5) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (symbol "Si8400_0_1"
+      (rectangle (start -7.62 5.08) (end 7.62 -7.62)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type background))
+      )
+      (rectangle (start 0 -5.08) (end 0 -6.35)
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 -2.54)
+          (xy 0 -3.81)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 0)
+          (xy 0 -1.27)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 2.54)
+          (xy 0 1.27)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 5.08)
+          (xy 0 3.81)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -0.635 0.635)
+          (xy -1.27 0)
+          (xy -0.635 -0.635)
+          (xy -0.635 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (polyline
+        (pts
+          (xy 0.635 -1.905)
+          (xy 1.27 -2.54)
+          (xy 0.635 -3.175)
+          (xy 0.635 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (polyline
+        (pts
+          (xy 0.635 0.635)
+          (xy 1.27 0)
+          (xy 0.635 -0.635)
+          (xy 0.635 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+    )
+    (symbol "Si8400_1_1"
+      (polyline
+        (pts
+          (xy -0.635 -1.905)
+          (xy -1.27 -2.54)
+          (xy -0.635 -3.175)
+          (xy -0.635 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (pin power_in line (at -10.16 2.54 0) (length 2.54)
+        (name "VCC1" (effects (font (size 1.27 1.27))))
+        (number "1" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at -10.16 0 0) (length 2.54)
+        (name "SDA1" (effects (font (size 1.27 1.27))))
+        (number "2" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at -10.16 -2.54 0) (length 2.54)
+        (name "SCL1" (effects (font (size 1.27 1.27))))
+        (number "3" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at -10.16 -5.08 0) (length 2.54)
+        (name "GND1" (effects (font (size 1.27 1.27))))
+        (number "4" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 10.16 -5.08 180) (length 2.54)
+        (name "GND2" (effects (font (size 1.27 1.27))))
+        (number "5" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 -2.54 180) (length 2.54)
+        (name "SCL2" (effects (font (size 1.27 1.27))))
+        (number "6" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 0 180) (length 2.54)
+        (name "SDA2" (effects (font (size 1.27 1.27))))
+        (number "7" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 10.16 2.54 180) (length 2.54)
+        (name "VCC2" (effects (font (size 1.27 1.27))))
+        (number "8" (effects (font (size 1.27 1.27))))
+      )
+    )
+  )
+  (symbol "Si8663" (in_bom yes) (on_board yes)
+    (property "Reference" "U" (id 0) (at 8.255 14.605 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "Si8663" (id 1) (at 8.255 12.065 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (id 2) (at 5.715 -13.97 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/si866x.pdf" (id 3) (at 10.16 -2.54 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_keywords" "6 channel digital isolator" (id 4) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_description" "Low Power Six-Channel Digital Isolator" (id 5) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_fp_filters" "SSOP*3.9x4.9mm*P0.635mm*" (id 6) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (symbol "Si8663_0_1"
+      (rectangle (start -7.62 15.24) (end 7.62 -12.7)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type background))
+      )
+      (polyline
+        (pts
+          (xy -0.635 15.24)
+          (xy -0.635 -12.7)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0.635 15.24)
+          (xy 0.635 -12.7)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.905 8.255)
+          (xy -1.27 7.62)
+          (xy -1.905 6.985)
+          (xy -1.905 8.255)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 8.255)
+          (xy 1.905 7.62)
+          (xy 1.27 6.985)
+          (xy 1.27 8.255)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+    )
+    (symbol "Si8663_1_1"
+      (polyline
+        (pts
+          (xy -1.905 3.175)
+          (xy -1.27 2.54)
+          (xy -1.905 1.905)
+          (xy -1.905 3.175)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.905 5.715)
+          (xy -1.27 5.08)
+          (xy -1.905 4.445)
+          (xy -1.905 5.715)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 -4.445)
+          (xy -1.905 -5.08)
+          (xy -1.27 -5.715)
+          (xy -1.27 -4.445)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 -1.905)
+          (xy -1.905 -2.54)
+          (xy -1.27 -3.175)
+          (xy -1.27 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 0.635)
+          (xy -1.905 0)
+          (xy -1.27 -0.635)
+          (xy -1.27 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 3.175)
+          (xy 1.905 2.54)
+          (xy 1.27 1.905)
+          (xy 1.27 3.175)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 5.715)
+          (xy 1.905 5.08)
+          (xy 1.27 4.445)
+          (xy 1.27 5.715)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 -4.445)
+          (xy 1.27 -5.08)
+          (xy 1.905 -5.715)
+          (xy 1.905 -4.445)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 -1.905)
+          (xy 1.27 -2.54)
+          (xy 1.905 -3.175)
+          (xy 1.905 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 0.635)
+          (xy 1.27 0)
+          (xy 1.905 -0.635)
+          (xy 1.905 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (pin power_in line (at -5.08 17.78 270) (length 2.54)
+        (name "Vcc1" (effects (font (size 1.27 1.27))))
+        (number "1" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at 10.16 -5.08 180) (length 2.54)
+        (name "INF" (effects (font (size 1.27 1.27))))
+        (number "10" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at 10.16 -2.54 180) (length 2.54)
+        (name "INE" (effects (font (size 1.27 1.27))))
+        (number "11" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at 10.16 0 180) (length 2.54)
+        (name "IND" (effects (font (size 1.27 1.27))))
+        (number "12" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at 10.16 2.54 180) (length 2.54)
+        (name "OUTC" (effects (font (size 1.27 1.27))))
+        (number "13" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at 10.16 5.08 180) (length 2.54)
+        (name "OUTB" (effects (font (size 1.27 1.27))))
+        (number "14" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at 10.16 7.62 180) (length 2.54)
+        (name "OUTA" (effects (font (size 1.27 1.27))))
+        (number "15" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 5.08 17.78 270) (length 2.54)
+        (name "Vcc2" (effects (font (size 1.27 1.27))))
+        (number "16" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 7.62 0) (length 2.54)
+        (name "INA" (effects (font (size 1.27 1.27))))
+        (number "2" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 5.08 0) (length 2.54)
+        (name "INB" (effects (font (size 1.27 1.27))))
+        (number "3" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 2.54 0) (length 2.54)
+        (name "INC" (effects (font (size 1.27 1.27))))
+        (number "4" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at -10.16 0 0) (length 2.54)
+        (name "OUTD" (effects (font (size 1.27 1.27))))
+        (number "5" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at -10.16 -2.54 0) (length 2.54)
+        (name "OUTE" (effects (font (size 1.27 1.27))))
+        (number "6" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at -10.16 -5.08 0) (length 2.54)
+        (name "OUTF" (effects (font (size 1.27 1.27))))
+        (number "7" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at -5.08 -15.24 90) (length 2.54)
+        (name "GND1" (effects (font (size 1.27 1.27))))
+        (number "8" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 5.08 -15.24 90) (length 2.54)
+        (name "GND2" (effects (font (size 1.27 1.27))))
+        (number "9" (effects (font (size 1.27 1.27))))
+      )
+    )
+  )
+)
diff --git a/hardware/icE1usb-rs422/r0.1/icE1usb-rs422-orig.jpg b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422-orig.jpg
new file mode 100644
index 0000000..da48c0e
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422-orig.jpg
Binary files differ
diff --git a/hardware/icE1usb-rs422/r0.1/icE1usb-rs422-rework.jpg b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422-rework.jpg
new file mode 100644
index 0000000..b9a9bab
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422-rework.jpg
Binary files differ
diff --git a/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_pcb b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_pcb
new file mode 100644
index 0000000..2f0f486
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_pcb
@@ -0,0 +1,14505 @@
+(kicad_pcb (version 20211014) (generator pcbnew)
+
+  (general
+    (thickness 1.532)
+  )
+
+  (paper "A4")
+  (title_block
+    (title "icE1usb RS422 extension")
+    (date "2022-08-15")
+    (rev "0.1")
+  )
+
+  (layers
+    (0 "F.Cu" signal)
+    (1 "In1.Cu" signal)
+    (2 "In2.Cu" signal)
+    (31 "B.Cu" signal)
+    (32 "B.Adhes" user "B.Adhesive")
+    (33 "F.Adhes" user "F.Adhesive")
+    (34 "B.Paste" user)
+    (35 "F.Paste" user)
+    (36 "B.SilkS" user "B.Silkscreen")
+    (37 "F.SilkS" user "F.Silkscreen")
+    (38 "B.Mask" user)
+    (39 "F.Mask" user)
+    (40 "Dwgs.User" user "User.Drawings")
+    (41 "Cmts.User" user "User.Comments")
+    (42 "Eco1.User" user "User.Eco1")
+    (43 "Eco2.User" user "User.Eco2")
+    (44 "Edge.Cuts" user)
+    (45 "Margin" user)
+    (46 "B.CrtYd" user "B.Courtyard")
+    (47 "F.CrtYd" user "F.Courtyard")
+    (48 "B.Fab" user)
+    (49 "F.Fab" user)
+    (50 "User.1" user)
+    (51 "User.2" user)
+    (52 "User.3" user)
+    (53 "User.4" user)
+    (54 "User.5" user)
+    (55 "User.6" user)
+    (56 "User.7" user)
+    (57 "User.8" user)
+    (58 "User.9" user)
+  )
+
+  (setup
+    (stackup
+      (layer "F.SilkS" (type "Top Silk Screen"))
+      (layer "F.Paste" (type "Top Solder Paste"))
+      (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01))
+      (layer "F.Cu" (type "copper") (thickness 0.018))
+      (layer "dielectric 1" (type "core") (thickness 0.138) (material "FR4") (epsilon_r 4.3) (loss_tangent 0.02))
+      (layer "In1.Cu" (type "copper") (thickness 0.035))
+      (layer "dielectric 2" (type "prepreg") (thickness 1.13) (material "FR4") (epsilon_r 4.3) (loss_tangent 0.02))
+      (layer "In2.Cu" (type "copper") (thickness 0.035))
+      (layer "dielectric 3" (type "core") (thickness 0.138) (material "FR4") (epsilon_r 4.3) (loss_tangent 0.02))
+      (layer "B.Cu" (type "copper") (thickness 0.018))
+      (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01))
+      (layer "B.Paste" (type "Bottom Solder Paste"))
+      (layer "B.SilkS" (type "Bottom Silk Screen"))
+      (copper_finish "None")
+      (dielectric_constraints no)
+    )
+    (pad_to_mask_clearance 0)
+    (pcbplotparams
+      (layerselection 0x00010fc_ffffffff)
+      (disableapertmacros false)
+      (usegerberextensions false)
+      (usegerberattributes true)
+      (usegerberadvancedattributes true)
+      (creategerberjobfile true)
+      (svguseinch false)
+      (svgprecision 6)
+      (excludeedgelayer true)
+      (plotframeref false)
+      (viasonmask false)
+      (mode 1)
+      (useauxorigin false)
+      (hpglpennumber 1)
+      (hpglpenspeed 20)
+      (hpglpendiameter 15.000000)
+      (dxfpolygonmode true)
+      (dxfimperialunits true)
+      (dxfusepcbnewfont true)
+      (psnegative false)
+      (psa4output false)
+      (plotreference true)
+      (plotvalue true)
+      (plotinvisibletext false)
+      (sketchpadsonfab false)
+      (subtractmaskfromsilk false)
+      (outputformat 1)
+      (mirror false)
+      (drillshape 1)
+      (scaleselection 1)
+      (outputdirectory "")
+    )
+  )
+
+  (net 0 "")
+  (net 1 "+3V3")
+  (net 2 "GND")
+  (net 3 "+12VA")
+  (net 4 "GNDA")
+  (net 5 "+5VA")
+  (net 6 "/PPS-")
+  (net 7 "Net-(F1-Pad2)")
+  (net 8 "Net-(F2-Pad1)")
+  (net 9 "/PPS+")
+  (net 10 "/RXD-")
+  (net 11 "Net-(F3-Pad2)")
+  (net 12 "Net-(F4-Pad1)")
+  (net 13 "/RXD+")
+  (net 14 "/TXD-")
+  (net 15 "Net-(F5-Pad2)")
+  (net 16 "Net-(F6-Pad1)")
+  (net 17 "/TXD+")
+  (net 18 "unconnected-(J1-Pad2)")
+  (net 19 "/SCL")
+  (net 20 "/PPS_IO")
+  (net 21 "/SDA")
+  (net 22 "/UART_RX")
+  (net 23 "/UART_TX")
+  (net 24 "/~{PPS_TXEN}")
+  (net 25 "Net-(U1-Pad5)")
+  (net 26 "Net-(U1-Pad6)")
+  (net 27 "/~{PPS_RXEN}")
+  (net 28 "/~{RXD_TXEN}")
+  (net 29 "Net-(U2-Pad5)")
+  (net 30 "Net-(U2-Pad6)")
+  (net 31 "/~{RXD_RXEN}")
+  (net 32 "/~{TXD_TXEN}")
+  (net 33 "Net-(U3-Pad5)")
+  (net 34 "Net-(U3-Pad6)")
+  (net 35 "/~{TXD_RXEN}")
+  (net 36 "/TXD_RO")
+  (net 37 "/RXD_RO")
+  (net 38 "/PPS_RO")
+  (net 39 "/TXD_DI")
+  (net 40 "/RXD_DI")
+  (net 41 "/PPS_DI")
+  (net 42 "unconnected-(U6-Pad13)")
+  (net 43 "/~{PPS_RE}")
+  (net 44 "/~{RXD_RE}")
+  (net 45 "/RXD_DE")
+  (net 46 "/~{TXD_RE}")
+  (net 47 "/TXD_DE")
+  (net 48 "unconnected-(U7-Pad11)")
+  (net 49 "unconnected-(U7-Pad12)")
+  (net 50 "unconnected-(U7-Pad13)")
+  (net 51 "/PPS_DE")
+  (net 52 "/SCL_ISO")
+  (net 53 "/SDA_ISO")
+  (net 54 "unconnected-(U6-Pad7)")
+  (net 55 "unconnected-(U6-Pad6)")
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 02275f1d-aece-4284-8cdf-8c19ef59cec9)
+    (at 130.75 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/852dd492-fde8-47cf-b19d-30bcba755e94")
+    (attr smd)
+    (fp_text reference "R9" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 7fc535b1-072b-4e78-a2a8-404c2cba3695)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp f61ebd10-fa85-4c79-9845-3ae1a19530d9)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp f249d16c-e8bb-413c-9ca5-de851c25d0b6)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 1695bdfc-bcb8-4ab6-a051-032fb7482d54))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 4b830b7a-c4f3-499f-9caf-eda6e9c33ae5))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0135f868-18f6-4b2b-aeec-6706dcf24647))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4286fe6f-259d-4ebc-9c2f-e9b04e5011b6))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 56a27b2b-1781-40e1-83d8-6fe07ff51c13))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5a78f5a6-9fd6-4e15-bd46-f1c21cd07dcd))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0ac4499a-ba87-45fa-99f0-460af10b0a14))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 25031667-f9bc-4a5f-abe0-1b012b10410b))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 4ab24502-138e-4e01-8757-964224fa97d9))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp ceee3b7e-9cd1-4395-a922-429ac48801be))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp acd948ab-4146-4115-ae32-4b590a488c96))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 32 "/~{TXD_TXEN}") (pintype "passive") (tstamp 5b938366-daa9-4810-ad36-e50d77f44da8))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (layer "F.Cu")
+    (tedit 5EBA9318) (tstamp 027cd7d3-1715-44a1-b796-433e296b5a41)
+    (at 109.75 88.75 -90)
+    (descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor tantalum")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/eb376085-ea92-43a5-b5a1-562f73b2246c")
+    (attr smd)
+    (fp_text reference "C10" (at 0 -1.75 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp db910480-f5e4-4b0d-a460-7f60ba68adbd)
+    )
+    (fp_text value "4u7" (at 0 1.75 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp ef9d8bfe-bc14-4670-aea1-a5068f4bf4d0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.8 0.8) (thickness 0.12)))
+      (tstamp 9d527ff1-d05f-4980-9cc6-bc7c0656487d)
+    )
+    (fp_line (start -2.31 -0.935) (end -2.31 0.935) (layer "F.SilkS") (width 0.12) (tstamp 071d6ba1-29c5-4a5f-a26f-960f2e7bf1e6))
+    (fp_line (start -2.31 0.935) (end 1.6 0.935) (layer "F.SilkS") (width 0.12) (tstamp 5227e99e-db6d-439d-ba98-ab1498142180))
+    (fp_line (start 1.6 -0.935) (end -2.31 -0.935) (layer "F.SilkS") (width 0.12) (tstamp ad7ba57d-fe7c-4dc0-b232-69ff4362bdb7))
+    (fp_line (start -2.3 1.05) (end -2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 09416769-072a-49bd-9d6e-866e5a189107))
+    (fp_line (start 2.3 -1.05) (end 2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8b21924a-2b05-4f11-ae93-1c0eb826effc))
+    (fp_line (start -2.3 -1.05) (end 2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b184e304-9678-4ea4-beb5-477107f06ef3))
+    (fp_line (start 2.3 1.05) (end -2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp deced634-45a4-4bda-a72e-7975608ca64f))
+    (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 393df2fb-60b3-4868-ba42-10728d2c3723))
+    (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6e3ece48-7df7-4188-88b0-fa63324bc326))
+    (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 6eb3ebb8-d213-469d-aedb-dcd89bad4950))
+    (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp cc22290a-0c9e-4c3c-bf45-9be89c0c7fcb))
+    (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1) (tstamp d926c2dc-1508-493a-bb66-5a3a457855e9))
+    (pad "1" smd roundrect (at -1.35 0 270) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 5 "+5VA") (pintype "passive") (tstamp 43adbbe5-bda9-4f8a-b7e8-8c647c4fbd2f))
+    (pad "2" smd roundrect (at 1.35 0 270) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 4 "GNDA") (pintype "passive") (tstamp be94408d-6382-4da8-947a-8b15549acbda))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm" (layer "F.Cu")
+    (tedit 5A02F25C) (tstamp 070a8132-cf55-49fa-9c23-ce72e4543baa)
+    (at 114 80 -90)
+    (descr "TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf)")
+    (tags "SSOP 0.65")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/8c3400d7-e8a7-44a6-8a0d-eb85ee8686d0")
+    (attr smd)
+    (fp_text reference "U3" (at 0 -2.55 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 0d1be008-9bf5-4f0f-a4f0-11c2af6260c8)
+    )
+    (fp_text value "74LVC2G125" (at 0 2.55 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 0662ddc8-4ebf-4250-8f8f-6badf19e68cc)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.6 0.6) (thickness 0.15)))
+      (tstamp 24850461-7a9c-4110-990b-1d5140cde4b0)
+    )
+    (fp_line (start -1.625 1.625) (end 1.625 1.625) (layer "F.SilkS") (width 0.15) (tstamp 18d894e2-7e41-40c6-a802-750d44e472b5))
+    (fp_line (start 1.625 1.625) (end 1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 71ad2e9a-41b7-4c13-9cc0-7c15c300b696))
+    (fp_line (start -1.625 -1.625) (end -1.625 -1.5) (layer "F.SilkS") (width 0.15) (tstamp 8a5c8a46-9a69-40c5-8a28-5321063c7be0))
+    (fp_line (start -1.625 -1.625) (end 1.625 -1.625) (layer "F.SilkS") (width 0.15) (tstamp b1def6c0-3b5b-44d4-ad2c-cfab09147b50))
+    (fp_line (start 1.625 -1.625) (end 1.625 -1.4) (layer "F.SilkS") (width 0.15) (tstamp c27707cb-13c0-485c-b515-89def70c8dca))
+    (fp_line (start -1.625 1.625) (end -1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp d4156301-2901-40af-9881-da3804a15228))
+    (fp_line (start -1.625 -1.5) (end -2.7 -1.5) (layer "F.SilkS") (width 0.15) (tstamp f0aff401-aabb-40f2-9974-a28e721a433f))
+    (fp_line (start 2.95 -1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 41ce6600-9ebc-41f3-bcc5-e1c7146b3e0f))
+    (fp_line (start -2.95 -1.8) (end -2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 8ade1422-ae6b-4246-8d57-40214e362dab))
+    (fp_line (start -2.95 -1.8) (end 2.95 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp c1e7ef04-98a1-4e2b-a496-60b43d35ff4a))
+    (fp_line (start -2.95 1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp e97ad2ce-3e9c-4bd9-b88d-c2fa254daf6b))
+    (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15) (tstamp 12a88c29-5cc1-446b-9ee3-e901c653b6a3))
+    (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 1959befa-8675-43a4-bf65-8aab24a93963))
+    (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 1cd15100-022b-4aa5-8105-b19fc95718b6))
+    (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 2385ea0a-5343-4ce6-baec-c04e9bcbed97))
+    (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 54accc73-9d92-4a3e-a5ba-d363bf91b9e6))
+    (pad "1" smd rect (at -2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 24 "/~{PPS_TXEN}") (pintype "input") (tstamp 091ad6c6-cbb2-490f-abfa-52cad2ce3191))
+    (pad "2" smd rect (at -2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 20 "/PPS_IO") (pintype "input") (tstamp bdb8ae3e-923d-4ac8-ab47-1397e93b2f65))
+    (pad "3" smd rect (at -2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 20 "/PPS_IO") (pintype "tri_state") (tstamp 6d1dab35-fc99-4aab-8822-1d7414af4c53))
+    (pad "4" smd rect (at -2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6861886d-8ec6-422b-8f49-1de15ca65a5f))
+    (pad "5" smd rect (at 2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 33 "Net-(U3-Pad5)") (pintype "input") (tstamp b7c5426a-32bb-4338-bc15-dabd2b0ac063))
+    (pad "6" smd rect (at 2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 34 "Net-(U3-Pad6)") (pintype "tri_state") (tstamp 6ad76f1a-4a0b-49db-9473-e6977bc6430f))
+    (pad "7" smd rect (at 2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 27 "/~{PPS_RXEN}") (pintype "input") (tstamp aab30838-7afc-494d-844f-dc51c6b05774))
+    (pad "8" smd rect (at 2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp db73b0ef-9aa4-4810-830a-50a99bfc56c5))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_3x3mm_P0.65mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 150cd7cc-7d48-4397-9cd0-51a2b7e444dc)
+    (at 104 100.5 180)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/6c19c75a-f2e7-4eec-bdac-75ad271b3be1")
+    (attr smd)
+    (fp_text reference "F3" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 6e741a0e-ff9f-4b05-81f0-767fef831dfe)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d8ad6947-8cf9-450a-818b-ed6bac65b1aa)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp e31aa941-980e-4499-bb74-28402952642c)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 59d3ecd5-8dce-4e61-b10d-9a2d53321c5e))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 85d84cc5-0141-487c-86a1-0401c9aef0df))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 904fd85d-c484-478c-b71f-3479a7881c4e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9090285c-7747-4d83-a2e6-207fba8703eb))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc0320cc-5093-4f6b-b169-ac1a49ca1d1e))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c41e53e9-0a17-4860-b024-91130b07968e))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 25ce6354-6fc5-494d-9263-9c8e0993323a))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 27c01518-d937-4f82-b845-90407948f2ee))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5384f46d-a583-42f7-b874-7a931e193fc0))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8248af10-cbef-4ef3-b3aa-2da81d4f6f20))
+    (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 10 "/RXD-") (pintype "passive") (tstamp 137a8485-c054-49d1-8ebe-fc27bf0a8364))
+    (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 11 "Net-(F3-Pad2)") (pintype "passive") (tstamp 66be0ce8-6549-4368-ac79-3b04a0f0c150))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 1bac70f1-4cef-4b3a-8b6c-66dc71e3ea65)
+    (at 131.5 81.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/175b6bba-723c-4c12-977b-5d1ec581c631")
+    (attr smd)
+    (fp_text reference "C3" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1f213c86-418c-4b53-81d5-19c457ecbe9c)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 93cc4196-bfdd-4ae9-9f8c-2c69030578d2)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 9024cc18-64f5-49fc-9385-f27697d90701)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 9a5a2477-e9ba-4bbb-8dc8-a9d7e6a7b729))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp ec9ce249-883d-4390-9fc5-b7f16b22bb56))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 257a0b96-5e6c-4690-b372-899949b413d1))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 61513683-570e-4777-88e7-e095aeb777ed))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c5ad7af8-a84b-4e5b-8361-7aab57921ea6))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp edb4e1e4-cee5-45a3-a0ba-cf60af04d14b))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 85d86963-d0d3-4d3a-ba67-7289738699d7))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a21d8984-4182-42fe-a99d-09d45b8d2280))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c1431e2c-3354-4b11-9068-4b658208fc81))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp cfc02a47-e092-4a37-b020-6665aea20461))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp daf75797-670b-4733-bf4c-b69f1ae4dded))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp b5841cf5-47ed-41db-9700-e5697040b365))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 21358744-3c2c-4310-b560-3bf74c807a3e)
+    (at 111.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/b3352b3b-c519-4713-b556-66f6b26e64ff")
+    (attr smd)
+    (fp_text reference "R11" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 37cc9957-884b-494f-9115-25c112c85fa6)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d192cdeb-a633-4678-aa8e-29462f284766)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 8bb71419-6de5-4a03-b840-cb35b1300cf6)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp d7d2052b-cb1d-4511-92db-a5c16c5236bf))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp ee7d7608-dc37-40b7-963c-afde99dd4f18))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0964f268-c82c-40a3-b76e-4b67ad805983))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 719c5f27-3708-4a82-8732-1cc18a3dc02e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp badd54e1-066f-490b-a321-a0af104444c7))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e1cf2d10-b92a-41e4-afe0-71ad9981435f))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 3945a97e-c440-4273-bc83-5356531237e7))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5521d45a-41a4-4359-803e-0155a081c10e))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 90843fdd-f7f0-435f-88d9-b0d90d1f481d))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b942d530-9072-44a5-bd84-0734794cc4c9))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 03942e07-9eea-4457-86df-0993e5a2cbeb))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 43 "/~{PPS_RE}") (pintype "passive") (tstamp 5408d0ab-9e5b-40c1-8330-5e4d442452e0))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 21f75fcd-231a-4e34-94f7-27ac1a92fa50)
+    (at 118.25 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/a0c992ea-8c8c-470e-ad1b-79d41380f38f")
+    (attr smd)
+    (fp_text reference "R6" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 20d66802-e57b-4cc8-a1c6-f35177915a44)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e042b8aa-a5f2-44e5-b807-7f0c2dfcdca0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp e0d18bf5-1e31-46ec-855a-c31216d8b402)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 28878b32-4a94-4a9b-bdc3-e5a288506229))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 8f8f5038-2823-476a-bb7b-929b303634ba))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 25cfa8c4-aaf4-4785-a285-1dc5271d86d3))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 52ae086c-b99c-4a2a-9f34-071193327443))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ab06579a-2efe-475c-bd26-14a511d79095))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ff2805c3-ac17-4326-9514-0cc378623158))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 528097fa-a6c1-4b41-ae2b-cf194701a231))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 544ac4dd-37ce-4398-aa31-1b63afe5ecda))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 73e2f8c9-5a88-4c3f-a533-ec7bec41a73d))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b9c9a592-d33c-4c08-acae-2b236ecdb2f5))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp b17a5469-e9bc-4ff6-b596-976b0d77dddf))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 27 "/~{PPS_RXEN}") (pintype "passive") (tstamp 07f25cb9-f16c-4184-babf-8c37da370e1d))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 29ca8e8c-f35c-4538-9f6f-ad2347a136b4)
+    (at 104 103.5 180)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f5cfbf96-3236-4154-baff-8ffa31ae94a9")
+    (attr smd)
+    (fp_text reference "F1" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp cee2df67-5151-4f3a-9bea-d50550c9ef7e)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp bc2bda3c-f724-4a4a-97b4-9f559940e628)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 60b4900f-c315-45c3-a94f-67c39c22f3a3)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 7d73c7bb-656c-4d7d-a5b3-08f23c595906))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8d7860fb-6054-4824-bb0f-ddb0b181ca5f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0c3ac84d-a406-4fae-84c3-27d8f7183797))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 23e2911d-b3e2-4086-9505-dd04b9b8292c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4805661c-986c-4f15-b672-a1029259839e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a7795953-6e5d-47c2-a8ac-edaeff8a74f0))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 2a866f07-6841-43c5-9332-d3a320b22b62))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5ca1ab27-e27d-4db4-adfc-ca973fa6984d))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6cc938be-9c49-4ff5-8378-b9570bcc0de4))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c9606494-ff53-4394-8c44-486c14c776c5))
+    (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 6 "/PPS-") (pintype "passive") (tstamp 7a6005e0-dd6e-4a6c-a6b1-ca74f2e45a20))
+    (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 7 "Net-(F1-Pad2)") (pintype "passive") (tstamp abe188ec-c3e8-41a5-8052-bf548687eca3))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 2b4b357f-0a56-4ad9-afba-375f8ca1ecb9)
+    (at 130 90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/14bf1363-1bc6-4399-8dad-4a6ed70cadf6")
+    (attr smd)
+    (fp_text reference "U12" (at 0 -3.4) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 22a33ed0-6dc6-4e39-8117-1fb5d84edc83)
+    )
+    (fp_text value "M24C02-FMN" (at 0 3.4) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 914127c7-2ad5-4aeb-b847-37a97ab30dab)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp e1dc40a2-36a6-4eb0-8c9a-e423cc62b8a8)
+    )
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 3f15864e-7a23-4af7-905c-159ceb76d03c))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp b6c2a42f-2d76-4545-a93e-610c85224a5e))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp f280ffe9-4425-4358-affd-2672eee86bf6))
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp ff602c55-d56a-4295-9a4b-b1c7b90d9239))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 1070b263-4d68-41a2-ba98-7015d0bda065))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 7ee77a44-8a85-40b4-87ef-72c529c5e2c2))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 8832b2b8-f3fd-489a-a92b-353d4181d54d))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp dbe2c6ae-77e5-4456-87c6-e9af252c2175))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 2ad5d5c5-e32e-4372-84c5-3da1f7efa6a7))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 5187c2cc-faa6-4bea-860f-d9a93964eaa8))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 72df264c-de07-49da-9286-e23feca169ce))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp 7421b197-b6a0-468e-b7a7-87bdab3206de))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp accabfbe-faa5-46b3-87a3-1016173411e8))
+    (pad "1" smd roundrect (at -2.475 -1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "E0") (pintype "input") (tstamp 843b2508-08be-403b-8678-eabe823569f6))
+    (pad "2" smd roundrect (at -2.475 -0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "E1") (pintype "input") (tstamp 62685ab1-8089-4297-98d4-ff100be03813))
+    (pad "3" smd roundrect (at -2.475 0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "E2") (pintype "input") (tstamp f5155278-22a2-49ca-ab65-d288565c92f0))
+    (pad "4" smd roundrect (at -2.475 1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 83297738-cb0f-4398-ba9c-536c4ab40ee2))
+    (pad "5" smd roundrect (at 2.475 1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 21 "/SDA") (pinfunction "SDA") (pintype "bidirectional") (tstamp 16de68e1-fb4a-4797-8e59-0a3f53416712))
+    (pad "6" smd roundrect (at 2.475 0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 19 "/SCL") (pinfunction "SCL") (pintype "input") (tstamp df4319ed-2d9d-415e-8878-36547270f734))
+    (pad "7" smd roundrect (at 2.475 -0.635) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "~{WC}") (pintype "input") (tstamp 2793b3a4-3168-4c03-82b2-156db9a15f35))
+    (pad "8" smd roundrect (at 2.475 -1.905) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 4f0f95e8-e258-49a2-87b4-82e53d9d1194))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 2d397ecf-9a5e-45b0-9639-d03edf874b24)
+    (at 116.75 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/3b5c4058-7a13-472c-8998-684becebf99a")
+    (attr smd)
+    (fp_text reference "R5" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 3ff4a5d1-dcbc-4c88-ab0b-b492010c17c6)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4f442af4-d522-453c-be6a-d27ac7c8dad0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp a2c28600-cce9-435e-a704-70e6febc2dd2)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 957549eb-fd24-4eb3-ae29-d1093d8645a3))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp f02e1ac9-5cb1-4258-b3ec-977e8926b1ae))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1f9e9c38-4d3e-4709-ad23-4613ae85bf2b))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 89b7e876-b58d-4282-97e6-e7c72afcc914))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a555a37d-9809-4321-b55b-fe5f5b26fc6a))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b9d25864-30d7-4217-b1d7-8893d9bd45f2))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 1513c2aa-d384-4f7c-99e8-87f21646d76f))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 27f9aed7-d4af-4fab-8fc8-9b3aa964a774))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 8d597ad3-5b90-46ac-9a34-66f9c20812e0))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp e20a0a7c-c105-4dcf-9892-84755fc02fc5))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp b1fb1005-e3be-4563-a5d3-c788daa5ddbf))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 24 "/~{PPS_TXEN}") (pintype "passive") (tstamp c36ba8f8-0943-48ec-a84a-5c7878c91cdc))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 34da058e-407d-4ce1-b478-8ac799d063d7)
+    (at 122.25 102.5 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/91ccb427-348e-4935-bc6f-060bf5b67166")
+    (attr smd)
+    (fp_text reference "U10" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 938ebad0-5af1-406d-a6d1-f02066d17017)
+    )
+    (fp_text value "SP485" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2cef1401-14d9-43dc-b86d-4c4d10e86d41)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp e436134d-eae8-464a-9f82-7f4208188952)
+    )
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 14e0e9b0-8d6b-40e5-95df-66bb22610b9f))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 3493e471-4de5-4d65-919e-ea1fd88c1122))
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 75fc1a56-b76c-4c68-9ee1-37bedd34e463))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp e8c7d8d8-6120-424c-96c5-d87cea66d124))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 208c1062-fb27-440a-a991-44d12d8d5bd8))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 2db60a85-b08b-4d56-8a2a-4a73a202f1f3))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp da5f197b-2314-43f2-8145-a1e9fe441f41))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp eaf17ae1-ae45-4279-8de2-2c4434f438ca))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 05c3f351-8432-4646-8ab1-0f1e22965fea))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 7d413834-3ba1-45d2-9f55-7d83fa5018a2))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 80d41b02-8a37-4f9e-a360-c906481633a3))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp bc4928c9-0166-456d-876f-9b77e43e340a))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp f1ba0cec-4333-440a-9734-eaf1db256277))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 36 "/TXD_RO") (pinfunction "RO") (pintype "output") (tstamp c6b8ee50-6561-493e-82d8-9545b3140b27))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 46 "/~{TXD_RE}") (pinfunction "~{RE}") (pintype "input") (tstamp fba87ca8-0f6e-4a38-8d71-c98e2355a757))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 47 "/TXD_DE") (pinfunction "DE") (pintype "input") (tstamp 06079260-0999-4df3-82fb-dac2d7f641e5))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 39 "/TXD_DI") (pinfunction "DI") (pintype "input") (tstamp 5b817453-f8ae-49b2-bb39-25b257ed4b53))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp d45b81b3-e9c2-4f0d-b42e-47600b2a3a8e))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 17 "/TXD+") (pinfunction "A") (pintype "bidirectional") (tstamp a4bf8bf5-2d26-4593-9209-ae63fabe2ba0))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 14 "/TXD-") (pinfunction "B") (pintype "bidirectional") (tstamp 480b4fa4-8210-4815-9c5a-c9c4b1397653))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC") (pintype "power_in") (tstamp cd7669bb-c35d-4dcd-9f8f-9099b78dcfda))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 361f3689-c37d-4a1f-aed2-0c20a2329bb5)
+    (at 104 96)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/14c9362c-bdee-41d4-8509-e74a37ac2991")
+    (attr smd)
+    (fp_text reference "R4" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 9d4c4e0b-60b7-4ef9-8407-66d9414fb16e)
+    )
+    (fp_text value "1k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2e0edcd6-7450-49ca-b1c0-c5a4c6f67651)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp f9d2a389-397b-403f-ac86-f160cef28f32)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 40675962-2015-4727-acf9-20fb4f0b2b70))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp d131008a-d6c6-4b96-afbb-01fecc84d1aa))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3e52afc3-a958-462a-bb01-696c49c9f026))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 48141a8c-fd06-4567-9c32-d1af6fd6beb1))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 88f32179-1264-4bcd-8f64-880f2aab05f6))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ca3d8951-c1a4-4832-a6de-664ceee905c7))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 01bb37fc-ea40-4bce-9ab2-48a4bc94f583))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 259c41bf-8842-4500-8e76-ee2e98cbcbe5))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 3963858b-1cc3-40e8-96b5-61e2fe472a2e))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 739be2c9-c115-484b-8cfe-83c26065afae))
+    (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 3 "+12VA") (pintype "passive") (tstamp 47a75642-de42-48fc-8832-d27242b44e40))
+    (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 7e257fa1-d31a-4048-9215-42da543bab8e))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 3973a2ca-64dc-4c04-a866-cd7d9f67b119)
+    (at 106.25 101.25 -90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f002a3a7-cf84-40c4-bd67-963c1af67713")
+    (attr smd)
+    (fp_text reference "R2" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c0889b27-83b4-44a0-af21-883ea7531dc5)
+    )
+    (fp_text value "120R" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e8ee90e3-8e70-4fd6-a5f1-047e9498aa67)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 700b7fca-15d9-49e4-b4dc-0b195b386730)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 396bd183-caf6-4264-924a-29d8dbe74083))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp d251e6b1-0fba-4af2-b29a-579659e971ce))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 18567e74-5c68-4dc5-9cba-4f1e7386cbf8))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2fc56f70-125f-4909-9be1-580b67f85636))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5105f41c-6330-456d-afb1-383afd048faf))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp d6310ee3-bc8c-4445-a2bf-23f80d4789d1))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 817cc05c-b978-43e0-92b7-9636966e1253))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp a1e3c822-6e6c-4185-bfe6-45511b3527d2))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp a757f998-eb14-443d-8002-d691cd375c94))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp df1184a6-b2fa-4090-a9b3-1d01c79b1ecf))
+    (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 10 "/RXD-") (pintype "passive") (tstamp 98182779-80a8-476f-ae21-57cc3799bcde))
+    (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 13 "/RXD+") (pintype "passive") (tstamp 012873a3-7934-4087-8410-2956d7e17dfa))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_TO_SOT_SMD:TO-252-2" (layer "F.Cu")
+    (tedit 5A70A390) (tstamp 3bc2026f-34f4-4606-bdd4-edfd21604289)
+    (at 102.5 91 180)
+    (descr "TO-252 / DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/")
+    (tags "DPAK TO-252 DPAK-3 TO-252-3 SOT-428")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/c63e0ada-76e8-4bdc-b1f5-6b53dee96d5a")
+    (attr smd)
+    (fp_text reference "U11" (at 0 -4.5) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2ac523ca-7205-49f1-9361-d9025b96c0b1)
+    )
+    (fp_text value "MC78M05_TO252" (at 0 4.5) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 8cef052a-1067-4b4a-98c6-41b222f003d1)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 22d7c141-5d3f-4ce9-a62c-ca0b3206c354)
+    )
+    (fp_line (start -2.47 3.18) (end -3.57 3.18) (layer "F.SilkS") (width 0.12) (tstamp 0f5bca27-5170-4a37-aff9-c20bf4697655))
+    (fp_line (start -0.97 -3.45) (end -2.47 -3.45) (layer "F.SilkS") (width 0.12) (tstamp 349ad8eb-32a4-419d-ae09-98749d35b5dc))
+    (fp_line (start -2.47 -3.18) (end -5.3 -3.18) (layer "F.SilkS") (width 0.12) (tstamp 60ac9f0c-4105-4d49-83b1-76347cce716d))
+    (fp_line (start -0.97 3.45) (end -2.47 3.45) (layer "F.SilkS") (width 0.12) (tstamp b8d27372-3216-452d-a65d-6a1af9daf284))
+    (fp_line (start -2.47 3.45) (end -2.47 3.18) (layer "F.SilkS") (width 0.12) (tstamp ec4d34ae-d3bb-4dd1-ba20-a07f29916d3e))
+    (fp_line (start -2.47 -3.45) (end -2.47 -3.18) (layer "F.SilkS") (width 0.12) (tstamp f0fcb681-b80a-4b75-a31d-cd75edda7b1c))
+    (fp_line (start 5.55 3.5) (end 5.55 -3.5) (layer "F.CrtYd") (width 0.05) (tstamp 5c54af43-618e-4779-9d8d-ad793ca4c868))
+    (fp_line (start -5.55 -3.5) (end -5.55 3.5) (layer "F.CrtYd") (width 0.05) (tstamp 68282e5c-cbea-4bee-a32e-3b72520c4abc))
+    (fp_line (start -5.55 3.5) (end 5.55 3.5) (layer "F.CrtYd") (width 0.05) (tstamp a52d0464-c116-47db-bf54-10469b6cc7ad))
+    (fp_line (start 5.55 -3.5) (end -5.55 -3.5) (layer "F.CrtYd") (width 0.05) (tstamp dc76bbc0-442e-4929-bbde-1d27c9438fcf))
+    (fp_line (start -4.97 2.655) (end -2.27 2.655) (layer "F.Fab") (width 0.1) (tstamp 0245d163-1db9-488a-8e65-734ffde01ce9))
+    (fp_line (start -2.27 3.25) (end -2.27 -2.25) (layer "F.Fab") (width 0.1) (tstamp 10787bed-7ef7-4b0e-8cf8-9199d6b05845))
+    (fp_line (start -1.27 -3.25) (end 3.95 -3.25) (layer "F.Fab") (width 0.1) (tstamp 31d14c1c-bbbb-419c-a694-97e6f8134b59))
+    (fp_line (start -4.97 1.905) (end -4.97 2.655) (layer "F.Fab") (width 0.1) (tstamp 3a23585c-96bd-452d-95d0-b9d6ac1bff6e))
+    (fp_line (start 3.95 -2.7) (end 4.95 -2.7) (layer "F.Fab") (width 0.1) (tstamp 425b27d1-09f3-4196-a092-0e5e58008416))
+    (fp_line (start -2.27 1.905) (end -4.97 1.905) (layer "F.Fab") (width 0.1) (tstamp 4fb418d3-7855-4fd5-924e-82d533cee792))
+    (fp_line (start -1.865 -2.655) (end -4.97 -2.655) (layer "F.Fab") (width 0.1) (tstamp 70810999-06bc-472a-8927-3c74daefbf0a))
+    (fp_line (start 3.95 -3.25) (end 3.95 3.25) (layer "F.Fab") (width 0.1) (tstamp 80e5d40a-32ed-4d2f-9d58-c2065402a0db))
+    (fp_line (start 4.95 2.7) (end 3.95 2.7) (layer "F.Fab") (width 0.1) (tstamp 974d45aa-d6f4-4777-b304-5652cb36dca4))
+    (fp_line (start -4.97 -1.905) (end -2.27 -1.905) (layer "F.Fab") (width 0.1) (tstamp a3202a65-9720-4b31-b023-f995b29ddd00))
+    (fp_line (start 3.95 3.25) (end -2.27 3.25) (layer "F.Fab") (width 0.1) (tstamp ad85fb61-03e6-4231-ab0a-be476228803c))
+    (fp_line (start 4.95 -2.7) (end 4.95 2.7) (layer "F.Fab") (width 0.1) (tstamp b0538559-f9b2-4287-a438-c2413b9fcf73))
+    (fp_line (start -4.97 -2.655) (end -4.97 -1.905) (layer "F.Fab") (width 0.1) (tstamp b418b985-6d76-4c01-898a-ace5a097ff2a))
+    (fp_line (start -2.27 -2.25) (end -1.27 -3.25) (layer "F.Fab") (width 0.1) (tstamp fec6b579-f6cd-4e2f-ba0e-bb648f7b6e74))
+    (pad "" smd rect (at 3.775 1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp a4483c6a-0167-4466-b52e-d85049ab1556))
+    (pad "" smd rect (at 0.425 -1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp dba6f557-b335-4337-b438-5e54791c7224))
+    (pad "" smd rect (at 0.425 1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp de3fb900-63bb-4711-b32f-1bea05505e3f))
+    (pad "" smd rect (at 3.775 -1.525 180) (size 3.05 2.75) (layers "F.Paste") (tstamp ea5f0f80-2e25-4c9e-8624-6fe681b76245))
+    (pad "1" smd rect (at -4.2 -2.28 180) (size 2.2 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 3 "+12VA") (pinfunction "VI") (pintype "power_in") (tstamp 8ea26c6f-ab57-4438-9055-be7abc0aa801))
+    (pad "2" smd rect (at 2.1 0 180) (size 6.4 5.8) (layers "F.Cu" "F.Mask")
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp 98331747-73f3-4e97-9156-937f501c6185))
+    (pad "3" smd rect (at -4.2 2.28 180) (size 2.2 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 5 "+5VA") (pinfunction "VO") (pintype "power_out") (tstamp 93dc1efe-2419-48a0-9ca6-def4ec91371d))
+    (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/TO-252-2.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 3e2bdccd-462e-4bcb-b3e0-05b01486706f)
+    (at 114.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/05e6fc07-ec20-47dc-8c98-fb39870e0f88")
+    (attr smd)
+    (fp_text reference "R15" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 9c5cee1c-5178-4017-84e4-294d3bbe12e8)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp edbd2ae3-0c4c-4f3a-80f6-5bb9ee06c81c)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 2ff37063-a582-4b80-b458-084ceac60055)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp b97d2888-7f6b-4b88-b921-ce2b4ebba957))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp f06c5530-3531-410b-b16c-a9296c6ac35a))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 38322cf0-27ae-40cb-98d3-99b181ff21d8))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 426982a3-1d9d-4c23-9775-f69e9d37b827))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 66e6b9eb-66b9-4a64-8815-7babc5590997))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e8f3be2e-a2e7-4b16-847c-0e493c4c4d32))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 230c4881-1a23-4b76-ae1d-aa7776894334))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 7b636250-de31-4147-8722-9dc968b30c72))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp beb5fbfd-0177-426b-876d-6ea879fe6cfe))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp f174fbc4-0c59-4ade-8245-b11a5c9c1d76))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 45 "/RXD_DE") (pintype "passive") (tstamp 95c5c77a-3cd1-4519-b062-42586c9e0a18))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp f8089336-bb2b-47c4-a271-7e5f2876cda3))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 41f63a98-e866-4ce1-ae31-0d885c405a2b)
+    (at 122 85 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f6a1004f-a5f8-44b8-aa3f-11e5a9e4a0e5")
+    (attr smd)
+    (fp_text reference "C4" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d111ab6e-467c-4299-b2a8-d10830f0a6af)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 6d062b72-9909-44d8-8a46-51107815ade6)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp bbda3910-e0d8-495a-a9e3-34b6ddc25f63)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 0dfc333f-360c-4434-8576-e6800c843a4d))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 68d578bc-f9f5-4384-80f8-35d98d88fcbc))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1fc51153-72a4-457a-963e-e854f6aabe6c))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 39d0fcf5-8b74-4d05-bf9c-45342ec12d8c))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b29e94bc-2486-41ef-8719-f0e1afaaaf11))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d9dea151-5d00-46fe-8375-4811b0af01fe))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5081723a-54f7-4ce3-8b01-aff81ee4d7d9))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 96f1b193-3768-4666-a6df-a255a1466663))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d0db9200-0b10-4eac-8b9b-794fe5eb981a))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d3a10d11-ca15-433d-90db-ee3f1a5fa35b))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 335c4d2d-b891-47d0-a5fe-1bfd3900366d))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 3b36fde4-6d87-4cf9-b844-53f51300c9bd))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 445c5b5b-ea03-46e5-ac82-5d47845b036f)
+    (at 125.25 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/76379fa9-c05e-4e04-ac01-4d076e8e60f0")
+    (attr smd)
+    (fp_text reference "R8" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp a81bc417-fea1-48c2-8a20-670a8404bc88)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp a1d7a37d-e9e6-4b5c-91c6-a7322e295a64)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 958af94f-5357-4def-9a34-aec7a12d67f4)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 13c82e59-e03a-43c5-b735-f4e10fbc4cfa))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 30d1fdd7-af04-48ad-9a2f-def88d056426))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 085e74c8-8543-46b4-aaeb-6e4958aec230))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0def01ce-89ce-4d99-b591-6f01986df028))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1226ce6f-aa50-463e-9d4f-8b036f832757))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 268d7efe-c66c-4476-aaa2-adbad4da406a))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 6a4ec2b5-09e6-4185-92f4-db30a97cd9fb))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 9b1d117d-2709-4aab-95a8-59174f07b537))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp bec3c949-367b-4281-9a8f-d23804469235))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp e5c10c77-8c7c-4202-8291-df2d83e511fd))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 3cd5c861-1432-4252-89dd-a1b316310f04))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 31 "/~{RXD_RXEN}") (pintype "passive") (tstamp d445d68d-7b94-4896-8976-0080b4e27e38))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 4da87648-aee6-472b-a21e-e354850e8116)
+    (at 104 97.5 180)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/2cc3c96b-e4a9-4807-a309-5cb64c75a1fb")
+    (attr smd)
+    (fp_text reference "F5" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 00f1ea42-e090-49c8-b266-5de317bbe7f2)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d5e27f36-be90-4600-a4b4-0648a7aa38e0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp cf14bba9-71ed-461c-9732-19d460e1534c)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 640d8457-5fac-4cd4-82bd-b358052fdbc9))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp f4ec38c8-e089-49d3-b999-b426845cf5c6))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5005f49a-f715-4809-ac10-7088941c02a5))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 80a39728-6b17-442e-a52c-0c06e7f0b912))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 93926d54-8b34-4027-a079-20634cd763b2))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fde0d7a2-68de-4047-be95-2cd0d5e8defd))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 118a1ad1-8323-4e38-9900-42534fd2e450))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5ef7c8ee-c40a-44a1-a949-fa9e71001590))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ad88b292-60f5-4bd9-ae70-39b0764867f4))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp fa309f51-b3a1-4f88-947a-8ffe5c5b39a1))
+    (pad "1" smd roundrect (at -0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 14 "/TXD-") (pintype "passive") (tstamp 1bcd9345-c236-4de4-8b39-4ea23c4d5c9f))
+    (pad "2" smd roundrect (at 0.7875 0 180) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 15 "Net-(F5-Pad2)") (pintype "passive") (tstamp 04bcd431-3b72-4d78-9346-43d52b538b2f))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 564fdd31-a6b0-4dcd-8b7f-bbae2b5daf20)
+    (at 104 99)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/4218b289-c8bc-40ed-a633-54c36234cde1")
+    (attr smd)
+    (fp_text reference "F6" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c6a7b950-8b88-4bc7-aaeb-6372ff9e4d78)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 63fc798e-b777-439a-ae85-14173f84a421)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 9b066fa7-51cd-4a8d-94d9-2453944039c8)
+    )
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp ea3423d5-5d1f-4ae1-8581-3befbcc2e84b))
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp edfd1538-42bd-4333-ad26-20dd1eb1339f))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3c81ea0c-fc61-43f1-aff6-032b879d3210))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ce68f3ba-f081-4a3b-bc73-de781d0ab0a2))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e19402bc-f4ad-4fd4-9354-668392dd4b7c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fd1faa3b-2346-4091-aed4-bb2e8b9788e7))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8546630a-67b2-477a-9c07-73ab8103153e))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 9c682f68-1576-4606-a427-1d3766751eaf))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d9b086fc-b976-40cf-b85c-5574ed0a8d70))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp fd9a41ec-933b-4aee-9e76-41b7574f25f4))
+    (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 16 "Net-(F6-Pad1)") (pintype "passive") (tstamp aedeb81b-db28-4a81-9034-03bdcc7d6ba0))
+    (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 17 "/TXD+") (pintype "passive") (tstamp 9624d0b5-406d-4f00-855a-a2c46eb43036))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 6234f7d6-a2bf-427c-bec8-2369e1af0a6b)
+    (at 117.5 81.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/370d7aea-c5df-44d3-84d7-e54ffd20bce3")
+    (attr smd)
+    (fp_text reference "C1" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4dc28666-c7a3-4914-af6a-e9320d7e6dd8)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 0d5e7e79-3b50-4494-b020-89fc36fd3e46)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 8e5f7c0d-f0b7-439e-88e1-6b9140ef1ce1)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp eb1c6066-8109-4108-bbec-533cc2f07fb7))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp f6bd58ed-b3ed-444e-a4f6-2c2c70ee5b5a))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3a4c76ff-018c-43e6-895b-493d4b8e73ee))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4e5dbbe5-4f20-4b00-aa33-60747d65edfa))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 537e5360-a3e3-411c-af44-32d7ab48a1f6))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 822a956b-ff23-4941-9853-9b409be9670e))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5e7394c7-3057-4a56-b810-ec4bb70341b9))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 76df21bb-e05f-4693-ae46-b3fd1f10bef6))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b2e58b71-8c60-4116-bc07-0e21eb838064))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c4b118da-e42c-4dbe-9127-0d95321699a0))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp bc2b5ec2-e8b7-4b56-b27a-6a32c54b7569))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 07220a64-d337-4d79-9480-7edaac31f8a9))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 629557ed-d024-4ee3-ae6f-a432563c4a43)
+    (at 110.25 102.5 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/72eb8031-447e-41e3-aaa5-59f792bf0a9e")
+    (attr smd)
+    (fp_text reference "U8" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 27256a76-f53a-47bc-bd0b-ad385ece9f66)
+    )
+    (fp_text value "SP485" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1c3653bc-32d6-40ea-916d-d4b6c6ca4496)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp 608ff4b6-42bb-44bd-8828-e3442eecedb7)
+    )
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 40eda8e5-ba70-4deb-84ff-46b086ff4ba4))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 6bbca269-6e22-4276-959a-13af5c5cbc19))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 95ff61f4-eb52-46c9-b717-f416b2d2475c))
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 9d632d12-4d8a-48be-a831-98dcbe664283))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 3fbc11eb-98db-4c58-9bd7-c6d2970dcd98))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 7b059b6c-fe39-4396-8f30-5522c865970f))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp ced7669e-0775-40b9-b73f-6926589e04b1))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp d7902ecf-2c48-4e25-90f9-d2089756f135))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 2c416628-de3d-4f94-9bb2-f06edcc8f7bd))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 6d13d148-afa3-4d49-be5c-81b6a4758b42))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 7e2688eb-9328-45f9-960e-7dd0b8294235))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 7fd7b48e-a7d0-4938-9e09-8785a2ea81c6))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp b785884b-d81b-4db8-bd15-b6ce2508ace1))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 38 "/PPS_RO") (pinfunction "RO") (pintype "output") (tstamp dcdd15b8-24a7-4736-98ad-0623bd34a467))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 43 "/~{PPS_RE}") (pinfunction "~{RE}") (pintype "input") (tstamp ae78937a-1bad-4fa9-9370-d138d64c9355))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 51 "/PPS_DE") (pinfunction "DE") (pintype "input") (tstamp f7169c89-6b76-4ddd-8f92-3e6403dc7cdb))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 41 "/PPS_DI") (pinfunction "DI") (pintype "input") (tstamp dd076259-f292-483a-82d6-99c6e2b1fb0a))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp 37d8dbf9-7f0c-4710-9b96-ba0d2bd4af22))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 9 "/PPS+") (pinfunction "A") (pintype "bidirectional") (tstamp 28325904-3d79-46fe-a249-30a0ece4a323))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 6 "/PPS-") (pinfunction "B") (pintype "bidirectional") (tstamp c6d335e6-ef08-461f-848b-f266e1c346bc))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC") (pintype "power_in") (tstamp 9cffacef-86b8-4666-98a4-e891f5732fb3))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp 67789bf6-a9f0-4d91-aff4-e7f3fc889b1a)
+    (at 116.25 102.5 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/65886466-a3a0-44a6-bd3e-eb8f54898fb1")
+    (attr smd)
+    (fp_text reference "U9" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 04e7814e-810f-453c-b761-57bab8d2b958)
+    )
+    (fp_text value "SP485" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 5d663dc9-352c-460a-907a-ae084dfa507a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp b89ab3bb-46d9-4806-a48c-ea7597626918)
+    )
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp 4ebcfc39-23fb-47f3-ba98-934458c286ae))
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp b6390a07-5bf6-4c22-90f8-48383d9dca27))
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp fa33e597-94e0-4c69-b80c-84fec265302f))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp fff90550-183e-4a8b-ae90-ebd884009bed))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 5de25438-1c2c-4499-a30e-c27ab03fe7c3))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 8f97c12a-fb64-43e8-991c-44d9e6b1d000))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp b0a2d0fe-6a0a-422a-b3a4-0f1ac2e90426))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp b8d62134-2fd4-4978-b4e7-457e16688ff1))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp 2ba8281d-4272-4fea-8eb0-c17407ef4242))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 55a701fb-9195-474e-8922-88ca1b5cab6a))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 585d33a4-230f-4bdf-9b4c-ce0b348cac93))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp b08fa9a6-772d-45d0-b93d-567548c579e4))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp d147253a-b430-4025-a800-e4c51d931569))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 37 "/RXD_RO") (pinfunction "RO") (pintype "output") (tstamp 184fa3f9-a494-4db8-bc4b-d7092a2fdcec))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 44 "/~{RXD_RE}") (pinfunction "~{RE}") (pintype "input") (tstamp 56275207-d34f-424b-8f5d-3e87f5991fa2))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 45 "/RXD_DE") (pinfunction "DE") (pintype "input") (tstamp 71d3516a-d7c8-4a98-aee4-424b0465c64c))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 40 "/RXD_DI") (pinfunction "DI") (pintype "input") (tstamp 20a912d0-e9b2-4ab5-b3b2-bd8df6c502a8))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp b20e4091-edc1-4a6b-b70f-8b8c092f83dc))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 13 "/RXD+") (pinfunction "A") (pintype "bidirectional") (tstamp 59352614-200c-4032-ba46-c180d3faf398))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 10 "/RXD-") (pinfunction "B") (pintype "bidirectional") (tstamp 306a0c67-e1ea-45a1-8410-893211e85518))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC") (pintype "power_in") (tstamp 01cac735-91f9-4417-a89d-44c9c2339d74))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 6c4283fa-7ffe-420f-8657-adb7e43051d5)
+    (at 110.25 107 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/cdc9edc8-7bbc-4f10-94cc-a31c71e81e1b")
+    (attr smd)
+    (fp_text reference "C11" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 5d172917-d565-4344-a7c2-e8f15478d315)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 08d7307f-756b-4a17-bb20-ee4dccf3b2e0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 57e26447-b7e8-42b0-b413-1b574db8c9e6)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 15bf4059-f575-489a-acda-6cc0e101b79d))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2b484ce2-27f0-421a-a17f-58ee8cffbee4))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 615fe905-94c2-43cb-841b-137fa0dc1fb0))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9d9c5cb5-e71a-49cf-ad16-078d3450384b))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b61ca77e-69d2-49cf-9595-85af1657e1a0))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fa78c02c-3eb8-4e64-afb9-627386b504a2))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 410b799b-d62a-4951-b745-dfe0819c38c4))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 579a2fd4-8b27-406d-8303-07efab3e0659))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp bc6fa8d6-e636-44ad-90c1-401f5d359400))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d7a96047-8ad6-41e3-bbb8-9aaadac134d4))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp b6428cae-8bff-47bb-9adc-399f0879c91e))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 4f571bee-d7aa-45b2-8b78-ec7a35d0bffa))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 7218cb81-0813-4983-8353-b3fa580196a5)
+    (at 122.25 107 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/0972fc5a-7164-4601-b8b1-52f8753cce7c")
+    (attr smd)
+    (fp_text reference "C13" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1501bd87-05e9-4e10-b2f8-dd6af49e4883)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4a257df5-a771-469d-b64a-2a96b9909ea2)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 5ae0e7e0-4b4a-4a2c-bbc9-485c4f871ed5)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4add9971-c755-4240-9675-f7b7b0942460))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 62c8ceb1-9be1-4101-bc3f-b83a31098764))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1ab1e723-e1dd-4c02-888b-baea67863ff8))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2e287891-4a7f-4d98-bb81-adb1b6c0dc2d))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4481a4fb-7ed8-4983-9770-c9218fddc851))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f5e74788-eb46-4180-b67e-11f40ea71aab))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 02669e75-2311-4eb8-a274-dbdf3fba6fc5))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1b340dbd-687f-42ca-9a4a-8a1de481c94d))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 204f92a2-ff15-40bf-b29c-d9a2d4adfe7f))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp fb7ea500-0ef5-4843-8a02-ccbefecb7737))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 41490bc0-b650-4011-a905-ab1ff5c3096f))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp b1333026-6c8a-439c-847d-5d916492fe97))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 786e4f42-e243-4fb9-82e7-38d1194424c5)
+    (at 104 105)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/a9c95a60-06c7-4a45-b110-b16148166e07")
+    (attr smd)
+    (fp_text reference "F2" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp f5c6c976-8c70-4716-b389-1cc78bc5c16c)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c2aa7562-a89e-483f-b6db-39f371450b67)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp dbfadba3-befd-4bba-a8da-91ecdcf95ff1)
+    )
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 29fd10ce-a609-4b82-b7f2-ba82e911d9b4))
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp a65ec966-b715-4e76-b208-89cc1932b7dd))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 678fc551-71ff-4166-b4b5-9e7dd73fe206))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7aa52416-92c1-4f07-9b8e-61cf1797600f))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9d5dc203-ae8a-448e-af55-9d5b72898951))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp ea72e608-ceb7-422c-8134-e23ece69b7bd))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7a44f1b7-b09d-4be6-8e75-d5d55a94abc9))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp aa6c5f72-9600-4c5d-a698-6837bb787f48))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e4799781-8c0b-4e1f-b309-7f16d7f2343d))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fbd5ccf3-a6c6-4fd5-a39a-704b37bd911d))
+    (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 8 "Net-(F2-Pad1)") (pintype "passive") (tstamp 4f0a4166-7053-4ab2-b11d-c031ecfdffb3))
+    (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 9 "/PPS+") (pintype "passive") (tstamp 4dda0612-d91d-4446-99c1-61cc47777d41))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Fuse:Fuse_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEF1) (tstamp 84820a73-62ae-4434-bd4b-115a12de35d2)
+    (at 104 102)
+    (descr "Fuse SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+    (tags "fuse")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/af8d14ee-39e9-4785-ae0c-6b90163ddde7")
+    (attr smd)
+    (fp_text reference "F4" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp bb5d6ae7-a5d5-44aa-abfb-562ae8322dd5)
+    )
+    (fp_text value "300mA" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp cd939abc-bf22-431e-a217-fe78cc9d5fc3)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 1d64f90c-1220-4920-965f-c30e836b4a31)
+    )
+    (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 69b477f9-89b9-4ff5-a3e8-a9678c381e0d))
+    (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 766f26d3-a67c-4417-9754-6fdb50d4bc4f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4e371923-4e7f-4e6e-a747-1c3f8a246856))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 768135c8-f3d1-450b-b561-c9229f7e7e1f))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8343c9b0-9a11-4123-b512-979439600788))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc4d22b6-9f42-420b-a67c-3abdba26cc66))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 35973f67-9cd2-4089-87e9-6b65f1381f77))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 67ad0dac-f62e-4f65-a918-3a8c8f52eb27))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8be6100b-232c-42ed-8927-2ee292bbabe7))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f32e2602-e833-4d83-95ae-27208a86cef0))
+    (pad "1" smd roundrect (at -0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 12 "Net-(F4-Pad1)") (pintype "passive") (tstamp 32770eac-4776-4a43-9459-5732c67a1cb2))
+    (pad "2" smd roundrect (at 0.7875 0) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 13 "/RXD+") (pintype "passive") (tstamp 809757ab-65ae-440d-9bc4-a19a2ca0a85c))
+    (model "${KICAD6_3DMODEL_DIR}/Fuse.3dshapes/Fuse_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (layer "F.Cu")
+    (tedit 5EBA9318) (tstamp 86935f21-3aa9-4362-bd78-f36184e81d38)
+    (at 109.75 93.5 90)
+    (descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor tantalum")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/ff81f0cf-d80e-4f16-9dd5-111ba49e9f46")
+    (attr smd)
+    (fp_text reference "C7" (at 0 -1.75 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 22a0ff71-381c-44c2-91a2-13c8b1774ce2)
+    )
+    (fp_text value "10u" (at 0 1.75 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp d7e9f51a-84a9-417a-bea1-6596a22d480a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.8 0.8) (thickness 0.12)))
+      (tstamp 59fb9844-ed68-4afb-aaaf-3185bd580099)
+    )
+    (fp_line (start -2.31 0.935) (end 1.6 0.935) (layer "F.SilkS") (width 0.12) (tstamp 0e51d326-cac8-4c95-9a03-1ce740f19216))
+    (fp_line (start 1.6 -0.935) (end -2.31 -0.935) (layer "F.SilkS") (width 0.12) (tstamp b63fa917-35de-4d56-80c8-220ddb64d167))
+    (fp_line (start -2.31 -0.935) (end -2.31 0.935) (layer "F.SilkS") (width 0.12) (tstamp fb376e45-07a9-4cd9-aba0-c3273fdc4ed2))
+    (fp_line (start -2.3 -1.05) (end 2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0eb349ad-37c6-4f24-b735-d4378bfcdaea))
+    (fp_line (start 2.3 1.05) (end -2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 8552a315-1b89-4085-aba3-1e7a263fe68f))
+    (fp_line (start 2.3 -1.05) (end 2.3 1.05) (layer "F.CrtYd") (width 0.05) (tstamp d02f56ec-c618-443b-8bbc-606fd4ead535))
+    (fp_line (start -2.3 1.05) (end -2.3 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp e64d0c22-d49a-40be-940c-a10851760df9))
+    (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 1de79ded-0939-43ee-8780-a4ef02d5dafc))
+    (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 44682921-b4e3-4681-8df7-e0b43be7919e))
+    (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1) (tstamp 70c80366-0825-410a-abc8-11f44a1d6764))
+    (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp 906af166-9cc2-4637-8cbf-1553b6b1c41e))
+    (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1) (tstamp c787aef4-d582-487b-9fcb-c283260d75a5))
+    (pad "1" smd roundrect (at -1.35 0 90) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 3 "+12VA") (pintype "passive") (tstamp e2526033-8781-43b6-9e3f-8b002f718543))
+    (pad "2" smd roundrect (at 1.35 0 90) (size 1.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.185185)
+      (net 4 "GNDA") (pintype "passive") (tstamp 4d71ad9f-d2cd-44c3-b376-2e60e3e0df87))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 8b245260-dfd9-4f4f-b40b-18628a7acead)
+    (at 132.25 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/e2d99e22-1fca-4957-ad42-32c2a1e74969")
+    (attr smd)
+    (fp_text reference "R10" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 64806d61-e571-4dcb-b53f-943ad4814b5e)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 42048548-317c-4bb6-91f2-930bd14b7b00)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 95cc9a59-8b70-4897-8af1-1ca1784ac43c)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp a92fcc47-d691-4528-ad18-41570ab0105d))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp b0dd9fcb-b6f6-470d-afdc-cc2ca507358a))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 66db7f54-7c85-4c5d-9f8d-185b078e9eb5))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7fbbb42b-f7a8-4279-a3df-3e62f8a66ca0))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9ac88278-ce50-479c-9b9b-0fbc9fbe85f7))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b8c93c27-1464-4be8-9c0e-941f89b17e57))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 0557bc9a-efbe-446d-9bc3-1047d135a29e))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 6593d32e-04a4-4f96-9e4e-8b2d8a3a6aa1))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 97dcb374-950c-4701-a8fb-468e168e314e))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b1dbcbdc-5142-468a-8483-256a145929a6))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp ceb13aa6-e2fc-487c-bb36-b7e3d604b117))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 35 "/~{TXD_RXEN}") (pintype "passive") (tstamp 11e49680-4b80-41f5-8f24-ee2c8b2845f3))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp 908e8050-60b0-485c-b0c8-36e57ee273f4)
+    (at 123.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/32c94a20-5c46-4e34-98fa-24a4f70995b6")
+    (attr smd)
+    (fp_text reference "R13" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1fa617c5-e2d8-4a24-a54b-2486e26f4fb0)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 922e585a-b22e-4ce0-a69f-628bde00d337)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp f81e2385-88bd-426a-abd7-b2eaf704411c)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 10a6acfc-be99-4766-85a5-52edd58f58f4))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp a47700db-58f8-4433-a8f6-9b161885c438))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 70b3b093-799e-41c7-b3af-b0dd3bc7bc1e))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 93babffd-c945-4795-8a56-4d871dd6f08d))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a49ec0fa-7fdb-46d8-9d6c-c60350c00f34))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp af80c66c-19cb-4881-aeed-1f2c98eb2fee))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 1e473394-8505-4e9c-929b-38c5fd1a8957))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp a0a3d7ba-35dc-4de3-9cd5-78d7938fd128))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp d280ca58-7341-4709-ad06-5cb150284670))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp eb17bf99-eb5a-4a6f-9e02-b4dd55154116))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 675fe08c-5d1f-49e3-9e7a-da24637d1407))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 46 "/~{TXD_RE}") (pintype "passive") (tstamp 91ad9b8c-eba6-4595-962f-ac32dfaec9fe))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm" (layer "F.Cu")
+    (tedit 5A02F25C) (tstamp 95145f45-9c9d-46da-bdb7-6a65e5649233)
+    (at 121 80 -90)
+    (descr "TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf)")
+    (tags "SSOP 0.65")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/a0795657-8926-4456-8f97-fd74dc222aaa")
+    (attr smd)
+    (fp_text reference "U2" (at 0 -2.55 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 348f5f24-10c1-44ec-960e-ed9ccf3c5b35)
+    )
+    (fp_text value "74LVC2G125" (at 0 2.55 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 031db5cd-1e4a-4657-accc-961c5257cf19)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.6 0.6) (thickness 0.15)))
+      (tstamp 2d511057-7733-471a-a88b-c7d8ce245b1d)
+    )
+    (fp_line (start 1.625 -1.625) (end 1.625 -1.4) (layer "F.SilkS") (width 0.15) (tstamp 10e43f33-c174-461d-96e2-491c1cb182fa))
+    (fp_line (start -1.625 -1.625) (end -1.625 -1.5) (layer "F.SilkS") (width 0.15) (tstamp 1fbd686e-7587-4c47-951f-7f31bf2bffdf))
+    (fp_line (start -1.625 1.625) (end -1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 59a32bbc-11d1-4ace-aff8-6df9e76bf338))
+    (fp_line (start -1.625 1.625) (end 1.625 1.625) (layer "F.SilkS") (width 0.15) (tstamp 665c70c9-d832-41f5-a293-6dec5ceab148))
+    (fp_line (start -1.625 -1.5) (end -2.7 -1.5) (layer "F.SilkS") (width 0.15) (tstamp b4fdf9d4-5480-4d47-acf9-d15dec6030ca))
+    (fp_line (start -1.625 -1.625) (end 1.625 -1.625) (layer "F.SilkS") (width 0.15) (tstamp c51b19eb-1a5b-4e5f-a2b0-8bad618fd00c))
+    (fp_line (start 1.625 1.625) (end 1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp e3630269-5e63-40ba-8f55-a97b7320e34b))
+    (fp_line (start -2.95 -1.8) (end 2.95 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 3041a9f4-3f81-46b6-9e3f-55df0621649c))
+    (fp_line (start 2.95 -1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp a7d8c2ff-af6b-43fd-aee8-6d8ada73845e))
+    (fp_line (start -2.95 1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp bf1e11c7-aca7-4f84-af64-ae5bcc09ad97))
+    (fp_line (start -2.95 -1.8) (end -2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp c2e5826b-07f0-484f-b32c-6595dc0b4501))
+    (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 0fe4ddf7-7bbe-4049-b7b8-534dea6d7102))
+    (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 391b2f28-3a6f-4029-96bc-8734f851b0ef))
+    (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 53983951-742f-45a2-ac9f-b382b67d2d1b))
+    (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp a4e6eeac-766a-4e8d-86b2-64938c9bb200))
+    (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15) (tstamp ea93e3d9-80e7-4e86-823e-094c8231bf92))
+    (pad "1" smd rect (at -2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 28 "/~{RXD_TXEN}") (pintype "input") (tstamp 594ba347-9be8-4f2e-8ae9-d71d547cc414))
+    (pad "2" smd rect (at -2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 23 "/UART_TX") (pintype "input") (tstamp 71fa5b6c-0c63-44c8-8cc1-b29887dc4546))
+    (pad "3" smd rect (at -2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 22 "/UART_RX") (pintype "tri_state") (tstamp 89e1c631-b911-4c88-a043-43ea8b576666))
+    (pad "4" smd rect (at -2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp eac0932b-b3ca-4c24-8bad-4f5f3370a0bd))
+    (pad "5" smd rect (at 2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 29 "Net-(U2-Pad5)") (pintype "input") (tstamp eda3a113-3607-40a1-b88c-904aaea9cbe6))
+    (pad "6" smd rect (at 2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 30 "Net-(U2-Pad6)") (pintype "tri_state") (tstamp e8af7d7d-b9fd-4622-bac5-332bf2d1f963))
+    (pad "7" smd rect (at 2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 31 "/~{RXD_RXEN}") (pintype "input") (tstamp d4e58e19-1330-4448-923e-c1c6c359266e))
+    (pad "8" smd rect (at 2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 5ffaa477-f043-4139-8ded-1dc1b1243aff))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_3x3mm_P0.65mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (layer "F.Cu")
+    (tedit 62FA68B4) (tstamp a7278de2-2ba9-4c30-ab9e-d15831da4386)
+    (at 115 90 -90)
+    (descr "SSOP16: plastic shrink small outline package; 16 leads; body width 3.9 mm; lead pitch 0.635; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot519-1_po.pdf)")
+    (tags "SSOP 0.635")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f1105a6c-0c26-43c0-bd87-a7307164ea7e")
+    (attr smd)
+    (fp_text reference "U4" (at 0 -3.5 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2f5a7cba-f598-420f-b9cb-c84ea7608aa8)
+    )
+    (fp_text value "Si8663" (at 0 3.5 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2c18c267-3481-4dad-ab53-c29707b26210)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.8 0.8) (thickness 0.15)))
+      (tstamp 0abff9dc-a692-495d-a1b1-325407bfb3f9)
+    )
+    (fp_line (start -3.275 -2.725) (end 2 -2.725) (layer "F.SilkS") (width 0.15) (tstamp 48de1808-b268-4f82-9ceb-6590a26d7c89))
+    (fp_line (start -2 2.675) (end 2 2.675) (layer "F.SilkS") (width 0.15) (tstamp 942c6b26-d6dc-4d9a-9f76-fb8a805689b8))
+    (fp_line (start -3.45 2.8) (end 3.45 2.8) (layer "F.CrtYd") (width 0.05) (tstamp 1232ce7a-1f77-4a6b-96e8-51eae39611b2))
+    (fp_line (start -3.45 -2.85) (end -3.45 2.8) (layer "F.CrtYd") (width 0.05) (tstamp 87828f6b-9281-4119-a841-aaacdf1b530a))
+    (fp_line (start -3.45 -2.85) (end 3.45 -2.85) (layer "F.CrtYd") (width 0.05) (tstamp d21cc333-d8d4-4796-a311-ed31fd4096d4))
+    (fp_line (start 3.45 -2.85) (end 3.45 2.8) (layer "F.CrtYd") (width 0.05) (tstamp e79863d9-1813-4ddb-90e4-2c840bafe063))
+    (fp_line (start -1.95 -1.45) (end -0.95 -2.45) (layer "F.Fab") (width 0.15) (tstamp 289a721b-6be1-4835-b34a-fca2d542a51b))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.45) (layer "F.Fab") (width 0.15) (tstamp 4b8f20f0-5906-4e45-bba2-c940e91e1ef7))
+    (fp_line (start -0.95 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.15) (tstamp 9a063425-3d0e-433d-8a63-e88faa623b4c))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.15) (tstamp e7099d54-7f35-4f04-8308-741facbad651))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.15) (tstamp ebb8546b-e1e2-4486-a44c-cf2c6d685152))
+    (pad "1" smd rect (at -2.6 -2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "Vcc1") (pintype "power_in") (tstamp 16dd0576-4d12-4d45-a565-e61ed0d86ac1))
+    (pad "2" smd rect (at -2.6 -1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 26 "Net-(U1-Pad6)") (pinfunction "INA") (pintype "input") (tstamp 4117a21e-d0cb-4a5d-a091-6cd28f5a32e6))
+    (pad "3" smd rect (at -2.6 -0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 30 "Net-(U2-Pad6)") (pinfunction "INB") (pintype "input") (tstamp ba68b99d-f1e8-47d5-8506-5d7612cd4cea))
+    (pad "4" smd rect (at -2.6 -0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 34 "Net-(U3-Pad6)") (pinfunction "INC") (pintype "input") (tstamp 2346b426-08e4-4533-94e5-65f1c02881a9))
+    (pad "5" smd rect (at -2.6 0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 25 "Net-(U1-Pad5)") (pinfunction "OUTD") (pintype "output") (tstamp c93668df-0cdb-4890-b75b-ef8efab2275c))
+    (pad "6" smd rect (at -2.6 0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 29 "Net-(U2-Pad5)") (pinfunction "OUTE") (pintype "output") (tstamp 238dac24-73eb-454c-b2d3-6aaf19e3cc29))
+    (pad "7" smd rect (at -2.6 1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 33 "Net-(U3-Pad5)") (pinfunction "OUTF") (pintype "output") (tstamp f9bae08d-94a7-4257-994a-a097b4c24f71))
+    (pad "8" smd rect (at -2.6 2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND1") (pintype "power_in") (tstamp a20c99f7-bd54-4e05-a5cd-46449424761b))
+    (pad "9" smd rect (at 2.6 2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 4 "GNDA") (pinfunction "GND2") (pintype "power_in") (tstamp 59dd44b5-13b2-40a6-93c8-d024b36a5652))
+    (pad "10" smd rect (at 2.6 1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 38 "/PPS_RO") (pinfunction "INF") (pintype "input") (tstamp ee6f8a12-492b-45aa-91ec-651d924031be))
+    (pad "11" smd rect (at 2.6 0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 37 "/RXD_RO") (pinfunction "INE") (pintype "input") (tstamp 68f3a426-53f6-415d-88af-95f01b6ff9cc))
+    (pad "12" smd rect (at 2.6 0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 36 "/TXD_RO") (pinfunction "IND") (pintype "input") (tstamp 5535b40a-54db-4846-967d-9613a617b0e6))
+    (pad "13" smd rect (at 2.6 -0.3175 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 41 "/PPS_DI") (pinfunction "OUTC") (pintype "output") (tstamp eda6f147-6052-4e8f-8c73-00c39ba78aff))
+    (pad "14" smd rect (at 2.6 -0.9525 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 40 "/RXD_DI") (pinfunction "OUTB") (pintype "output") (tstamp 48ed2782-d7a6-4b2a-8017-505be26eb13a))
+    (pad "15" smd rect (at 2.6 -1.5875 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 39 "/TXD_DI") (pinfunction "OUTA") (pintype "output") (tstamp 4fb430db-66a8-4615-bcef-50b4f4a5e2c7))
+    (pad "16" smd rect (at 2.6 -2.2225 270) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 5 "+5VA") (pinfunction "Vcc2") (pintype "power_in") (tstamp 546ff0f5-ebb4-4e33-9ad4-e552abeff83a))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SSOP-16_3.9x4.9mm_P0.635mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm" (layer "F.Cu")
+    (tedit 5A02F25C) (tstamp af6c41b0-fdf5-43d1-9a84-a52ce8650eb2)
+    (at 128 80 -90)
+    (descr "TSSOP8: plastic thin shrink small outline package; 8 leads; body width 3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot505-1_po.pdf)")
+    (tags "SSOP 0.65")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/1241c5c8-3bf9-4ac1-a0e7-c680388dae3e")
+    (attr smd)
+    (fp_text reference "U1" (at 0 -2.55 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 72443a5d-af1f-4f9a-aa4d-e55d2213c1f1)
+    )
+    (fp_text value "74LVC2G125" (at 0 2.55 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c23675e5-b013-4b8b-b52d-57a4a240b41a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.6 0.6) (thickness 0.15)))
+      (tstamp 15572baf-d7ab-43a2-921c-f984e881dc8e)
+    )
+    (fp_line (start 1.625 1.625) (end 1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 29f4095d-39c4-47f0-b425-d8729a426b01))
+    (fp_line (start -1.625 1.625) (end 1.625 1.625) (layer "F.SilkS") (width 0.15) (tstamp 2d41a74d-ed88-46a9-9cc8-99f9d45db2bf))
+    (fp_line (start -1.625 1.625) (end -1.625 1.4) (layer "F.SilkS") (width 0.15) (tstamp 3d56546e-7803-49cd-8ad2-41f854ff5314))
+    (fp_line (start -1.625 -1.5) (end -2.7 -1.5) (layer "F.SilkS") (width 0.15) (tstamp 5de46c9b-dd5c-4604-b9c0-b3da6029a18c))
+    (fp_line (start -1.625 -1.625) (end 1.625 -1.625) (layer "F.SilkS") (width 0.15) (tstamp 9928b8ed-a98e-48a0-aa8e-f977e1448ba7))
+    (fp_line (start 1.625 -1.625) (end 1.625 -1.4) (layer "F.SilkS") (width 0.15) (tstamp b585205b-14f9-4dde-ae32-5a9de2c20717))
+    (fp_line (start -1.625 -1.625) (end -1.625 -1.5) (layer "F.SilkS") (width 0.15) (tstamp c243b63a-2bcd-41ac-a91b-6888ac8f6d46))
+    (fp_line (start -2.95 -1.8) (end 2.95 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 1bfb6f80-8099-408b-8f9b-5aa3fce08023))
+    (fp_line (start -2.95 1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 49ade5eb-f8ba-461a-8aa8-db5371de2a74))
+    (fp_line (start 2.95 -1.8) (end 2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp c8471035-0c54-4549-b23e-96114f9acd20))
+    (fp_line (start -2.95 -1.8) (end -2.95 1.8) (layer "F.CrtYd") (width 0.05) (tstamp d37b6e41-6be6-4e98-9aa6-47849d660aaa))
+    (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp 5cc2ccec-7789-426c-8fe7-34f126fc8399))
+    (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp 604c25b4-52df-4323-9bba-92ea7ce23f64))
+    (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15) (tstamp 84ade77b-c8da-4317-b4eb-3647226e3674))
+    (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15) (tstamp d964372e-643a-44df-8724-953fe3161018))
+    (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15) (tstamp f6a89471-d64e-4baa-8017-09aae3e96f9a))
+    (pad "1" smd rect (at -2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 32 "/~{TXD_TXEN}") (pintype "input") (tstamp fd263eb8-8520-4bc5-abd3-8c4d1f76fbb4))
+    (pad "2" smd rect (at -2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 23 "/UART_TX") (pintype "input") (tstamp ada8af7e-1f6b-427e-aae0-84b99e9c0c70))
+    (pad "3" smd rect (at -2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 22 "/UART_RX") (pintype "tri_state") (tstamp c7337090-c3e2-4255-836d-9d625a76fd45))
+    (pad "4" smd rect (at -2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp cd6cbf79-4e4d-4c3f-a9cf-2e72d73c523e))
+    (pad "5" smd rect (at 2.15 0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 25 "Net-(U1-Pad5)") (pintype "input") (tstamp aeffafa5-3f0a-4c2c-9fdd-c556be12ad94))
+    (pad "6" smd rect (at 2.15 0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 26 "Net-(U1-Pad6)") (pintype "tri_state") (tstamp 1e67c0e8-d4f6-487c-8cb8-e2dbca1f6a8c))
+    (pad "7" smd rect (at 2.15 -0.325 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 35 "/~{TXD_RXEN}") (pintype "input") (tstamp 95e8f13c-5279-46e9-b07b-646161dec4e8))
+    (pad "8" smd rect (at 2.15 -0.975 270) (size 1.1 0.4) (layers "F.Cu" "F.Paste" "F.Mask")
+      (net 1 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp a636ff70-2679-4be4-b169-a78f12932b75))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-8_3x3mm_P0.65mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp b40f7450-509f-4df7-8afc-814724f6ca26)
+    (at 108.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/5ce7f881-e281-4738-b8ef-9071b387f31d")
+    (attr smd)
+    (fp_text reference "R14" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 78d8c157-ed31-4aca-8789-551c553fb357)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 04ef506d-118e-4865-9bec-9b92665195a2)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 7f2425d7-d435-48b5-a0e8-ccd0d6edc87c)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 90920e66-2b28-4dd3-866d-c5a96d81bdc2))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 95a37983-b1fd-46e0-a7dc-75f97e4dfdef))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2001e0f5-718f-4b24-9fb8-68cbf3565056))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 20c6dd5c-2887-4a9f-b896-5b56abda6d07))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp bb8025d3-ada8-4130-adad-ee33f313e20e))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp e5a2d20b-27c4-421e-ae51-d1f4822da764))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5a512288-799a-447a-a087-323b83ff82c6))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp b41e649e-f913-4abd-9929-cc309b6e81ea))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp c9e9d41d-001c-4d1e-a6f5-5b2c98d646b6))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp de29ec65-4e94-47af-940f-fc50975386c2))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 51 "/PPS_DE") (pintype "passive") (tstamp db7118c4-eee5-4394-8338-c163cefe8a83))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 12520e14-d6a7-463f-8bfd-7beeba9625e8))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu")
+    (tedit 5D9F72B1) (tstamp b4850354-d1b9-4214-814c-bd02d0f5ba1c)
+    (at 120.75 90 -90)
+    (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/c3a7e8e7-d23b-4d26-a771-2af366126261")
+    (attr smd)
+    (fp_text reference "U5" (at 0 -3.4 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 79632402-e157-4eef-9409-5d13ca985478)
+    )
+    (fp_text value "Si8400" (at 0 3.4 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp b94b88ef-2068-461d-91d4-145d1e9c51d9)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.98 0.98) (thickness 0.15)))
+      (tstamp 0eeac394-37fa-43a6-b04d-fdccacc05b8e)
+    )
+    (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12) (tstamp 826ce1ea-adf2-44a7-8a9f-c22f9e553250))
+    (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12) (tstamp a804259d-29b3-4402-a80a-942e6fa09032))
+    (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp d547be31-d5a0-49bb-bbf5-f2e13f5b9cac))
+    (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12) (tstamp f43b9522-d5fb-46fe-8803-8ca3e43018d2))
+    (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 1d6205eb-2333-4574-9a02-e0b73532d16d))
+    (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp 2c026ada-67e9-4049-b821-5f10c31d0e23))
+    (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05) (tstamp 9fb34d89-a252-42f7-848c-8058490f04fb))
+    (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05) (tstamp d25678f7-d73d-4245-94f0-0416bd0836f2))
+    (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 14a22f1f-409a-448a-87ed-a4491ede4ed9))
+    (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1) (tstamp 2984b6c1-43ee-4668-96d4-cfaeaa7e6034))
+    (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1) (tstamp 5f78b60d-fdba-46d7-a37e-1552033d7a88))
+    (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1) (tstamp aefb604c-0417-4526-8db8-8da56d3c13f8))
+    (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1) (tstamp d4ddcf42-ba51-4b89-ba80-cef73acf7409))
+    (pad "1" smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "VCC1") (pintype "power_in") (tstamp 76352a4a-6b7f-4761-bc36-4217d55bb4de))
+    (pad "2" smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 21 "/SDA") (pinfunction "SDA1") (pintype "bidirectional") (tstamp 855e1c7b-ea1f-445c-b5b9-e1247bf1bb12))
+    (pad "3" smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 19 "/SCL") (pinfunction "SCL1") (pintype "bidirectional") (tstamp 0ff3ba81-c0ff-4439-abc9-289f5ad8eac9))
+    (pad "4" smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "GND1") (pintype "power_in") (tstamp 4f2a400e-451a-47cd-af11-721a8c33c56f))
+    (pad "5" smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND2") (pintype "power_in") (tstamp 2fe393cd-2c7f-47e6-b5f2-8f96cd3626e5))
+    (pad "6" smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 52 "/SCL_ISO") (pinfunction "SCL2") (pintype "bidirectional") (tstamp e6b5b5e8-4d7a-48de-9321-26b2473d4693))
+    (pad "7" smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 53 "/SDA_ISO") (pinfunction "SDA2") (pintype "bidirectional") (tstamp 29d3e22e-28c0-4d7c-9d25-cc25122ae52f))
+    (pad "8" smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VCC2") (pintype "power_in") (tstamp 13c14aa5-8624-4c36-8741-bf30e41d33a0))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp b59d4670-e1bf-412b-ac63-8166f6fc08b1)
+    (at 118.25 85.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/9e0eab30-6499-4697-be3c-63ed32c79aae")
+    (attr smd)
+    (fp_text reference "C5" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2f3ed708-7210-44a5-b20c-eaf5cf9d3d20)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c922093e-98f6-4de6-84d0-deb59920f37b)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp cad44f75-b349-4de4-baa2-2c0b9d6b3d80)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 2bb6ff1b-7b06-4bb4-ae31-c5e070d0c89f))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 92b7ff89-131c-4083-b514-7df87a455401))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 75986318-db18-4a88-b7e1-34816b1a3381))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8deda286-411f-4020-86a3-c45b7d7f606b))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp bc04d80a-f53b-46ea-8b3d-34b9656be593))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c0849964-90ec-4506-ab61-2c0f12aac897))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0a3ca732-61d9-4cfc-bcbc-9c9165cb4e4d))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a9c90287-27e9-4702-83ff-a2684fe502cc))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c6537734-a61c-434f-be0f-6efa78e20b7d))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d629be63-2d15-4db6-b377-631c297783c3))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 56daac9b-55ee-4837-832b-8110a9d3aa2f))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 105bf989-48d2-416f-a7d6-3ea7df19e848))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp ba44d10f-5b9d-4404-ba2b-07c514067790)
+    (at 107 86.5 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/d4d1351b-e262-4f90-bcb7-2efe4cec8bad")
+    (attr smd)
+    (fp_text reference "C9" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c037aee4-7e0b-4ca2-8496-17bd780b0fbe)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 9f80d5eb-f83c-428f-b391-86468f7d389d)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp e52204a1-4897-461e-81bf-a46311d3febe)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4f531136-37ee-44ee-8a70-0bba101c3deb))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b4764897-76e0-4031-bc48-8daeda2d0c68))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 05610fa2-b3ce-4b6d-ba5c-acffb540c43f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5a71de63-6ee2-4da7-a265-3f8bcac54929))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 81b0c900-e7b3-482f-a25d-b2a1f2ac41e9))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f292132f-d2df-44a0-9a1a-fb5377c5f6e1))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3252e264-364e-4149-8fdb-ba81916412b5))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 51ec7d81-7cf7-4e47-8a4e-3441e4568669))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d086dba4-2ac5-435d-9ea5-8cd5fea83294))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f276e7ec-e0af-41d7-9965-1773a636b8e0))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 638c49f8-73b5-4077-a917-f65008eda6f3))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 8f728e30-faf9-4285-9c1a-5197da19b6dc))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp bfebea18-8577-4342-a2ef-dd815112b05e)
+    (at 120.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f5169de3-691a-4f33-8a0c-fea8c980189d")
+    (attr smd)
+    (fp_text reference "R16" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp b67aacee-5dc5-42e6-9bf0-ef790d90bdc7)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 1f684f0e-1f64-4d56-96bf-17684235ae7d)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 90b87a75-e694-4e72-9bab-3ec19112d41d)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 14efd80c-2364-4189-bc1e-dc9392973be6))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp f54884af-67e4-4dbe-95da-550305460acf))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 21b4cc6b-b4c3-4faf-97f3-3af5783f061a))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 27c159bf-e63a-4849-b694-c2eb6bc3ae9e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a77633d7-f807-4fb2-bbad-87270a64fa4c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e9c027e8-ce2d-4e53-a05d-773184b8f8b9))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 213bf068-d2b1-4957-95df-8002cc32e691))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 4133c9d0-1439-4f00-98bd-c8b737a49900))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp c2f45461-a28e-4911-979a-d726cb0bfa20))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ce3e8bc2-83d4-4eae-ba12-94d60e8f53e1))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 47 "/TXD_DE") (pintype "passive") (tstamp a6f04d03-5d93-4aca-a70c-26e683e579e6))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 69726e01-87d0-467e-ba2a-d3d04e232bb1))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp c2f8a8c6-ccaa-4d1b-92d4-549dbfdece67)
+    (at 106.25 98.25 -90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/b7269c93-b543-4757-ac4b-fdc20e982f00")
+    (attr smd)
+    (fp_text reference "R3" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp c31f2dab-2ceb-46cc-bd24-2456b28a8788)
+    )
+    (fp_text value "120R" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 5163e220-9710-45c9-9418-b7ce5ca48635)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 870573cc-ee82-4c42-8254-5e9d98fc0bd7)
+    )
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 729ef63e-1ff4-47c6-84de-3072301f903b))
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp b80bfb06-7710-419f-997d-401aaa97a361))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0ad7797c-1b82-4022-8d02-1c87cb7393a2))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 19ce90ea-fe5f-4ddd-b9fb-badaa6176b2e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 324b0f73-b5aa-46b7-a7af-8edd115713f3))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 47a73fe3-260f-417f-99a1-1c30d4b85662))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 1a2a5e92-61ab-4e0c-8342-4bd321825751))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 31998064-e5f0-4875-846b-e796db21b63e))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 475c3397-52e5-40fe-97d9-c594698e28a9))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp d1b430cb-d4f4-4c54-974d-0913b44b8369))
+    (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 14 "/TXD-") (pintype "passive") (tstamp 540d9dcc-a202-40c4-9581-a253c3920786))
+    (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 17 "/TXD+") (pintype "passive") (tstamp 924f5e2d-657b-4fee-9d2f-c2121e929f6e))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp ca865fd3-17d7-45fa-8133-ab8aafe5104b)
+    (at 116.25 107 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/d46b1e87-7942-4b99-8d91-cf6c316df13f")
+    (attr smd)
+    (fp_text reference "C12" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 37aef122-259c-4fe4-a34f-75b1ba716bd2)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4008e868-491b-4313-9b63-b9c3c3dba082)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 3f2d89d8-71c2-4f01-8444-6c1a758b4ab8)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 45105559-2b1c-401d-90e9-92a94995c6e3))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 7e640284-984c-4339-a42e-da5c40fd02a3))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 04ed59be-df72-43ff-8c2e-969ab256ee6b))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6df91307-fd60-42b2-a7ad-2df1fb7d2983))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b104b269-34fd-4906-817d-0537c757d1b7))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f05e1ee5-4b11-4e2f-934b-abd37223e72f))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 05d38904-a777-4428-abc6-d53a7dc7b4f3))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 54fb9f18-f78f-4e35-8b40-31705d802ddd))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 92ffa51e-dda7-46c6-84bf-58675711f684))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp bb6b0e1d-6dfe-447f-861c-9f4433735188))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 55676d2f-c141-4d24-ac05-48e1df200b4c))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp ed3c14bf-9690-4cd7-892f-269d054182be))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp d0fedc42-a215-4f3d-903e-30fbc4566a04)
+    (at 118.25 94.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/b7cd067b-8ec2-49ed-a3a4-2b30c9f18990")
+    (attr smd)
+    (fp_text reference "C15" (at -0.225 -1.5) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 2f325e36-a083-462d-a834-41a815be64c2)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 7d796a04-39f8-473e-85d3-ad32edca41be)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp fa71d7ca-8669-47b8-a691-7ed910bf6223)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 65d70690-770b-4e56-aae5-16adebcf401e))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp dd01b7d1-e810-4106-8bfc-abfcae8e227b))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9b66bd31-a6d6-4344-a9c0-c6ae726f9c71))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp e27c4411-8db0-4ef5-bab0-f36753855b71))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fa2f7f16-c41e-4d85-9616-9665fca5956d))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp feabfb5b-ba67-4b12-9c70-2759189001a4))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 415e8cdf-71e7-49ec-9d07-83b9c0c4720b))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 6db69a25-6341-45b2-ba89-a7230648290c))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a670c482-0d7d-441b-9b7b-8291821bf148))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ed218e67-f040-43c3-990f-cb5be7ce7fe4))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 4b6615f4-ea9b-47d8-8eaa-3046bd560440))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp f48e8464-a319-47a5-abeb-d54f8b5dc56f))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp d695330b-81fa-4ebd-ac6c-bafad450889c)
+    (at 117.75 98 180)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/4b1cc371-f92b-48fe-b65f-234306fabc06")
+    (attr smd)
+    (fp_text reference "R12" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 4fab45f9-481c-47c5-9043-13745df72132)
+    )
+    (fp_text value "10k" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e01c77c5-7c4f-4764-83ee-0abf7dfbc657)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 0357cb09-cd92-4f36-a2d0-c47be7f94e19)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 47fb96c8-a1c9-406d-9405-4406429a4a7c))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 98fd91ad-a58d-4ae0-b932-b62563aec9f5))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 34bc7aa0-6103-48fb-8385-fdf840897b3b))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 64b7b34e-14aa-46ad-aa63-b68c5949b3a3))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8b890864-a591-4fe3-b3f4-6ee3cc03dfdf))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f1aef9bb-0b0e-4ee2-b139-00464d7821da))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 247efab5-7e79-4d70-a485-b3fe42a50f76))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ab0f4908-ddfc-4ad5-9ed3-eb62159f7c87))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp e226c252-c5df-407d-b32e-fb84e12db7ce))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ff4746dc-cc14-43e4-a132-d5f61a9cf79d))
+    (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 25e92886-9dd3-4715-82a9-cf9143898d29))
+    (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 44 "/~{RXD_RE}") (pintype "passive") (tstamp ba764641-afaf-495c-9b94-f78474cf51e6))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp dc1b3576-72df-437a-8c1d-e49ef8f9ff18)
+    (at 107 96 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/70cef4b1-374f-4188-a820-7b0fcd00b427")
+    (attr smd)
+    (fp_text reference "C8" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp ad778dac-0442-42ee-9ee2-eb699157e4a5)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e796e18c-007b-4cae-8d66-3312a7a58957)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp c9210e39-6c74-4ba5-8694-b8b0bcd4e9df)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 548d102b-bf9f-4d61-b75d-286f35bab708))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 75c93003-ca47-427e-ab1d-206c94a41821))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2306d64f-376d-4935-916c-cad0457ef739))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 43401aa9-edfe-4cf5-90a3-deaa90c66e69))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b33dd0cd-ffbc-48c7-a58b-032ad9a414ce))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp b83cb6bb-87d2-4b93-9aaa-53332e6ad0fc))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1b2c723d-2dec-446d-be10-489da56f30c3))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 430bbc9d-bb67-4d34-b5b5-77b6469051d2))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp cc5405f8-353c-46a8-8429-e6054e23c2b8))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ef8be973-0beb-400a-99dc-6e9ad730ab26))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 3 "+12VA") (pintype "passive") (tstamp 352612cf-a038-4759-b0d9-8056e379ce87))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp e5738b75-3add-4542-b00b-6ecab20eeed0))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp dcc730e9-654b-47ce-aace-21bca9b473ce)
+    (at 123.75 79.25 90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/5b234ca9-2d03-4a23-bde4-9e56846a4c88")
+    (attr smd)
+    (fp_text reference "R7" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 3a31bd28-8def-4d4b-80a5-e4b40c13c67e)
+    )
+    (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 846fd1b4-65ae-41ae-bd08-33f2f64629dc)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 88514ba3-3c33-4eef-8020-c02f58bae04f)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 0b3e297f-3527-4119-9fd2-00ea7f2a6844))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 408902ed-2b70-40eb-b4d4-ed3ef1660161))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0955a2d1-6d5c-41d0-bcb6-279692bc5a93))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2a4dbfdd-a019-4340-ae2c-52d02c91c798))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4df8937d-4f93-4056-a687-2e28f9a5904f))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c8d18c92-01ab-42b9-971a-7c7a757f3b63))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 5d61ba4b-a422-4197-beb3-1ede10f65cef))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 9527fd57-0e1e-43cb-add4-7aac7fae6d39))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp aeffa9ba-8a83-4232-8aa4-b947dbfef7ab))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp d049d564-4770-46e2-bf50-f64efd781ce9))
+    (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 88f1abea-90b6-4eb4-94c5-f5bf41181c6b))
+    (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 28 "/~{RXD_TXEN}") (pintype "passive") (tstamp 3aec06bc-00ed-4a9f-9b35-bc96799cb186))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp ed06a58a-6bb4-462e-bf44-ee6337332e6d)
+    (at 106.25 104.25 -90)
+    (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "resistor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/d72c9799-a3a8-4751-8eb1-6ad1db1ffa3c")
+    (attr smd)
+    (fp_text reference "R1" (at 0 -1.43 90) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp f8f46d23-df60-4876-a13c-667e518327c5)
+    )
+    (fp_text value "120R" (at 0 1.43 90) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 7f2618fb-ea5d-4039-a6ee-0e2d8a5a11c0)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 3601a6cc-a92b-4b35-8f54-766f003d9600)
+    )
+    (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) (layer "F.SilkS") (width 0.12) (tstamp aa357df8-e874-4519-a377-a6958d7eff65))
+    (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp ca29b172-c9d2-4ea2-87e7-5d5f22c1606f))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 07657013-16ef-42bb-af5d-d93a007b227f))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 266c7711-2775-4263-afc8-18d00d35132e))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 781f58ec-71bb-46cd-b787-bea63edaf633))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7eda587b-66fd-4f15-a1fc-236196e24138))
+    (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 637f87db-7b8f-4f1b-9e69-38145325d607))
+    (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 661cf3a1-07f1-4505-8f64-0a46c109a06c))
+    (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 757314ca-9a94-4f9c-aee8-32cb62112ddf))
+    (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp f765a782-452d-4f98-bf38-06dc5e3b5609))
+    (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 6 "/PPS-") (pintype "passive") (tstamp f98e4f8c-6db8-45fc-86b6-9a74c62ef2cf))
+    (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 9 "/PPS+") (pintype "passive") (tstamp b2141e0f-3b61-49ae-bd3a-00eb61203a2b))
+    (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp f4b8e881-3655-476c-bfb9-00cddac672bb)
+    (at 122 95 180)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/38546697-4fdb-4498-8b17-5aa799e1c53e")
+    (attr smd)
+    (fp_text reference "C14" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 50ca026f-e53e-46d1-bde6-0366d3cc1276)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp e636c5ab-ca5e-40df-bba5-7bbe52097c98)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 2ba40074-c30f-45a3-8f18-805c53814487)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 622f4225-66c7-4801-8885-cd99efb3f64a))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a4d12fb4-1bb3-47d2-88d4-7aa0b40df313))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 47629847-7484-4ec6-a8e1-ca61a83950f5))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7456635b-d143-4038-8c13-b6ab9f54e01a))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7c1f72d4-1fd3-4510-ab96-29d6c1eb2683))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9cdb3399-402a-43c6-8d69-a3178cc3cf2c))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4b51550e-2dff-4d54-a842-d2aa7eb4af66))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp bb97dd13-8c8f-403e-b3ac-97e00aef1098))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c68596de-63c3-4c7a-a5f0-caf9c0233a67))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp cc2884af-d340-403c-8d73-f8bf4c3dbd01))
+    (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp 209310e0-0d31-44fb-953a-082fc82561e0))
+    (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp 8e1a4a4c-ed63-41f2-9a8c-bfe6e077fc00))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu")
+    (tedit 5F68FEEE) (tstamp f9c8b756-78b1-4301-969c-7bfb3462e931)
+    (at 124.5 81.5)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/ea3da44b-64e4-4628-8c68-a47f3d2e8a9c")
+    (attr smd)
+    (fp_text reference "C2" (at 0 -1.43) (layer "F.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 6137ae8e-b6af-40af-928e-c507b0e221dd)
+    )
+    (fp_text value "100n" (at 0 1.43) (layer "F.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)))
+      (tstamp 258c7224-44f0-4797-af59-06d49e5e733a)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)))
+      (tstamp 8f2f8543-7d1d-482e-9686-be54b130c1f3)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "F.SilkS") (width 0.12) (tstamp 1fbd2e37-dfa0-4601-bd78-b42832dd1344))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 4bddeb5c-78e7-40d6-a2c5-1a928aba828c))
+    (fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2d0c8842-7639-42b4-a6fe-d2cb15773ea3))
+    (fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 53e65e6c-0500-42cb-870d-c81a60fdb3e4))
+    (fp_line (start 1.48 0.73) (end -1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 59ed0396-8d26-4acf-b8ac-7286270a424c))
+    (fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer "F.CrtYd") (width 0.05) (tstamp c99b21c6-e5bd-4f63-9aae-d01039467537))
+    (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2ef3c235-e1c9-404f-9f79-fdd4b17f1b0b))
+    (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8e471d32-4b72-42fc-99ba-1b935bd9b417))
+    (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a7c59294-b8f6-44de-9c21-d068440dfec5))
+    (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fbdce230-8504-4f90-bdd5-d514406039a9))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp 92c6e612-3560-4a8f-aee6-06ef6c37e337))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 0e302447-21fa-4175-9148-9fe16a4b71a6))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu")
+    (tedit 5F68FEEE) (tstamp 2eaf3a5b-09a9-4bb4-900b-88fac1beb813)
+    (at 122.5 78.5 -90)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/c95580a6-a0d5-4f4b-a463-f12bb798ae9d")
+    (attr smd)
+    (fp_text reference "C6" (at 0 1.43 90) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 0aaf25aa-9beb-4d0a-8560-ac0c3c28e0e4)
+    )
+    (fp_text value "100n" (at 0 -1.43 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp e035cce5-e22a-4ad4-b9b6-1ad86f805b04)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+      (tstamp b5b84f8d-be4c-40df-8738-c5072a789e3c)
+    )
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp 1e0bff4c-9d9b-4e96-a6f1-56e93df78eaf))
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 29883f64-dc9d-408d-8f15-b41fdda71a44))
+    (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5e6b2b8a-c221-4ad7-828b-e3d530006813))
+    (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 7875da97-8330-40af-ad02-2e2b27c123e1))
+    (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp cba2dd1b-b394-49d1-9d5e-1edc55790a55))
+    (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f5ab1245-8a3f-47de-b039-804efa1b20d3))
+    (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 05d14806-d585-40f3-a6fc-cb19e3b4f4f0))
+    (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5b8c4906-6a3b-4c1b-b530-c26c726a2600))
+    (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 8c16c8b5-e983-415c-abfa-7b11abfd3a18))
+    (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp f27a0af6-0147-4934-8ef7-70d4e9e12f73))
+    (pad "1" smd roundrect (at -0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pintype "passive") (tstamp affbe35a-d1be-4c62-b6dc-36f352b18730))
+    (pad "2" smd roundrect (at 0.775 0 270) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pintype "passive") (tstamp 53f1c0ea-a3c3-4ffa-9e15-cb57bd6b5d0c))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (layer "B.Cu")
+    (tedit 5D9F72B1) (tstamp 38fd3618-343e-45b6-b446-de124ec812e0)
+    (at 116.25 83 90)
+    (descr "SOIC, 16 Pin (JEDEC MS-013AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/bf733eb6-de1f-497a-b175-cebba0a1b4fb")
+    (attr smd)
+    (fp_text reference "U6" (at 0 6.1 90) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 7f880471-4dfc-4511-aa48-d3f6762b05a0)
+    )
+    (fp_text value "TCA9534" (at 0 -6.1 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 76a1536e-6d31-4da4-8757-a7212a9bb788)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp cd1fe2a9-3b9c-49a2-a65f-9d16a852d0bd)
+    )
+    (fp_line (start 0 5.26) (end 3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp 0979830d-de9a-4fad-8d9f-c5712a0b243e))
+    (fp_line (start 0 -5.26) (end 3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp 2905fb8c-1ab9-4e32-a155-be828786718a))
+    (fp_line (start -3.86 5.005) (end -5.675 5.005) (layer "B.SilkS") (width 0.12) (tstamp 3c78e3ae-44b8-4174-be35-4ee283039e7e))
+    (fp_line (start 3.86 -5.26) (end 3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp 693fcd75-d57b-4681-b7c6-f167c3b60f9c))
+    (fp_line (start -3.86 -5.26) (end -3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp 6ffb3dc1-2f11-4ed8-8a34-0866df0c4b31))
+    (fp_line (start 0 5.26) (end -3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp 9df85ec0-3923-421e-8d32-4eea65bfbce0))
+    (fp_line (start 0 -5.26) (end -3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp b8abc4f9-5eae-45e0-8c19-48c8d4461113))
+    (fp_line (start -3.86 5.26) (end -3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp e42c6f75-a690-42b1-afcf-79f4fc6c76cc))
+    (fp_line (start 3.86 5.26) (end 3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp edb3a1bf-02d5-418f-8acc-767c8448a8d7))
+    (fp_line (start 5.93 5.4) (end -5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 4285f8c5-451d-4257-8cc3-55409b35278e))
+    (fp_line (start 5.93 -5.4) (end 5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 6ed6496f-b15f-42e7-b0a7-ee5fd047c499))
+    (fp_line (start -5.93 5.4) (end -5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp 70186af0-c5ff-4c4b-b216-390af88105d9))
+    (fp_line (start -5.93 -5.4) (end 5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp bbdd347e-cee5-41f4-a662-4300d01fc7e5))
+    (fp_line (start -2.75 5.15) (end 3.75 5.15) (layer "B.Fab") (width 0.1) (tstamp 23dd4143-1f79-4764-ab3b-4a4b6f3b5e68))
+    (fp_line (start -3.75 4.15) (end -2.75 5.15) (layer "B.Fab") (width 0.1) (tstamp 5034facc-3518-41b2-a149-3563e0746a63))
+    (fp_line (start 3.75 -5.15) (end -3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp 88beff70-0ca5-485b-91e4-fbe2215b2821))
+    (fp_line (start 3.75 5.15) (end 3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp 9aba815c-bf0c-42bd-98e9-ef3aaaa99f16))
+    (fp_line (start -3.75 -5.15) (end -3.75 4.15) (layer "B.Fab") (width 0.1) (tstamp bcb3abd9-0239-4bcb-9764-a491d8044abc))
+    (pad "1" smd roundrect (at -4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "A0") (pintype "input") (tstamp 19bf4e9b-ab0c-4aec-b137-73472f15d03d))
+    (pad "2" smd roundrect (at -4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "A1") (pintype "input") (tstamp b8ca7800-6eda-4f3d-80ad-90d86bda173d))
+    (pad "3" smd roundrect (at -4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "A2") (pintype "input") (tstamp 09b5a326-1131-45f4-8b92-2fa20d88d156))
+    (pad "4" smd roundrect (at -4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 35 "/~{TXD_RXEN}") (pinfunction "P0") (pintype "bidirectional") (tstamp 2a68b58e-8f43-48aa-8207-9a083b5f2471))
+    (pad "5" smd roundrect (at -4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 32 "/~{TXD_TXEN}") (pinfunction "P1") (pintype "bidirectional") (tstamp 40e00586-6b4a-4dc9-ae49-100570a186ef))
+    (pad "6" smd roundrect (at -4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 55 "unconnected-(U6-Pad6)") (pinfunction "P2") (pintype "bidirectional+no_connect") (tstamp 42f0559b-5907-485e-9f29-2af499e654e8))
+    (pad "7" smd roundrect (at -4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 54 "unconnected-(U6-Pad7)") (pinfunction "P3") (pintype "bidirectional+no_connect") (tstamp 3b172d7b-3245-4501-8a68-700a61ec035b))
+    (pad "8" smd roundrect (at -4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 643f5017-5a40-426a-83db-afa6b28c707d))
+    (pad "9" smd roundrect (at 4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 27 "/~{PPS_RXEN}") (pinfunction "P4") (pintype "bidirectional") (tstamp 6c0ba70d-d6c9-4351-bc73-caab1504e54a))
+    (pad "10" smd roundrect (at 4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 24 "/~{PPS_TXEN}") (pinfunction "P5") (pintype "bidirectional") (tstamp 8cedee34-4dbe-4a50-8de5-f6825e7fafdd))
+    (pad "11" smd roundrect (at 4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 31 "/~{RXD_RXEN}") (pinfunction "P6") (pintype "bidirectional") (tstamp 9476d592-0e85-4987-8e1a-bdb1c5152fd9))
+    (pad "12" smd roundrect (at 4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 28 "/~{RXD_TXEN}") (pinfunction "P7") (pintype "bidirectional") (tstamp a342a4ed-cc66-4f3b-87f3-360a88dcf51b))
+    (pad "13" smd roundrect (at 4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 42 "unconnected-(U6-Pad13)") (pinfunction "~{INT}") (pintype "open_collector+no_connect") (tstamp 27e88c17-10ed-48db-88a3-3cbfc40566db))
+    (pad "14" smd roundrect (at 4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 19 "/SCL") (pinfunction "SCL") (pintype "input") (tstamp b97e8b7b-ed15-4637-a28d-9878fab96d97))
+    (pad "15" smd roundrect (at 4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 21 "/SDA") (pinfunction "SDA") (pintype "bidirectional") (tstamp 15212c46-87f4-41f8-a062-5cfde1f0ffde))
+    (pad "16" smd roundrect (at 4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 1 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 9ce34594-a59d-4440-bb43-e157169269c5))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16W_7.5x10.3mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" locked (layer "B.Cu")
+    (tedit 5A19A422) (tstamp bd98751e-7c4a-4f8e-b00f-1df905526c13)
+    (at 131.77 103.8)
+    (descr "Through hole straight socket strip, 2x04, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated")
+    (tags "Through hole socket strip THT 2x04 2.54mm double row")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/30e215e6-8ba1-4d4e-a4f7-4ddb4064f495")
+    (attr through_hole)
+    (fp_text reference "J1" (at -1.27 2.77) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp fb0f16a8-25c2-4321-87b1-c53f3b5cd0fb)
+    )
+    (fp_text value "EXT_IN" (at -1.27 -10.39) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp b553b100-da8b-478d-b5bd-a2090e718a78)
+    )
+    (fp_text user "${REFERENCE}" (at -1.27 -3.81 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 24102460-b7c6-45c1-9b85-db8747013814)
+    )
+    (fp_line (start 1.33 -1.27) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 31080963-b855-48d5-a5be-58f9a71ba7fc))
+    (fp_line (start -3.87 -8.95) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 4cf91ac5-6693-4f6c-8505-6c9506c7c627))
+    (fp_line (start -1.27 1.33) (end -1.27 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 5b3b9c3a-c096-49b0-96be-86fd5bbd62f4))
+    (fp_line (start -3.87 1.33) (end -3.87 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 869ee134-0328-430b-a578-10986127a648))
+    (fp_line (start -3.87 1.33) (end -1.27 1.33) (layer "B.SilkS") (width 0.12) (tstamp 8b438d83-1b59-4809-b5e3-da1ff8151a12))
+    (fp_line (start 1.33 1.33) (end 1.33 0) (layer "B.SilkS") (width 0.12) (tstamp 99d123b1-49ef-4a42-bda7-01270ee8d86c))
+    (fp_line (start 0 1.33) (end 1.33 1.33) (layer "B.SilkS") (width 0.12) (tstamp 9c6a7d84-7504-48ef-8910-e678dfd67afc))
+    (fp_line (start -1.27 -1.27) (end 1.33 -1.27) (layer "B.SilkS") (width 0.12) (tstamp b1241beb-c3d4-48ad-bfd3-be24ebf70c6f))
+    (fp_line (start -4.34 1.8) (end 1.76 1.8) (layer "B.CrtYd") (width 0.05) (tstamp 5302ed6d-0463-43cb-b0b7-f0c862a95720))
+    (fp_line (start 1.76 1.8) (end 1.76 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp 583b5296-f4e5-4691-b8eb-9c4b74c0f547))
+    (fp_line (start 1.76 -9.4) (end -4.34 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp c127b979-ff17-43c6-82d3-d9c6d272a96e))
+    (fp_line (start -4.34 -9.4) (end -4.34 1.8) (layer "B.CrtYd") (width 0.05) (tstamp e3dab637-9c64-43c5-aec9-876a10d19e84))
+    (fp_line (start 1.27 0.27) (end 1.27 -8.89) (layer "B.Fab") (width 0.1) (tstamp 16986663-fa7f-44b3-8760-e33141cfd962))
+    (fp_line (start -3.81 1.27) (end 0.27 1.27) (layer "B.Fab") (width 0.1) (tstamp 18473cf7-df7d-4cb4-88c2-8d0fded7c34b))
+    (fp_line (start 0.27 1.27) (end 1.27 0.27) (layer "B.Fab") (width 0.1) (tstamp 37b76d16-9003-419f-9e78-da4953135575))
+    (fp_line (start -3.81 -8.89) (end -3.81 1.27) (layer "B.Fab") (width 0.1) (tstamp e1afa81e-a088-474a-97b0-386b2c0d70e7))
+    (fp_line (start 1.27 -8.89) (end -3.81 -8.89) (layer "B.Fab") (width 0.1) (tstamp f0d2162f-8ebc-4204-9012-560d2499247e))
+    (pad "1" thru_hole rect locked (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 2 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp e76ecf6f-dba3-4f3a-b2f3-e69d6477181d))
+    (pad "2" thru_hole oval locked (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 18 "unconnected-(J1-Pad2)") (pinfunction "Pin_2") (pintype "passive+no_connect") (tstamp 1e3b32ce-d7d4-42b5-bb69-dbeb2b8a0495))
+    (pad "3" thru_hole oval locked (at 0 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 19 "/SCL") (pinfunction "Pin_3") (pintype "passive") (tstamp a26f02a1-582b-4b29-b9a7-d4bf91576564))
+    (pad "4" thru_hole oval locked (at -2.54 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 20 "/PPS_IO") (pinfunction "Pin_4") (pintype "passive") (tstamp a1802b02-0e57-4250-b19a-6619aa5f28e0))
+    (pad "5" thru_hole oval locked (at 0 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 21 "/SDA") (pinfunction "Pin_5") (pintype "passive") (tstamp ad75840b-b904-4dda-b7a7-0ce6a8ad8258))
+    (pad "6" thru_hole oval locked (at -2.54 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 23 "/UART_TX") (pinfunction "Pin_6") (pintype "passive") (tstamp 30b9e004-06a2-4361-bb01-e8503f0e6d5d))
+    (pad "7" thru_hole oval locked (at 0 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 1 "+3V3") (pinfunction "Pin_7") (pintype "passive") (tstamp 84e5a5ea-30b0-4185-8de6-33f0a318d36c))
+    (pad "8" thru_hole oval locked (at -2.54 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 22 "/UART_RX") (pinfunction "Pin_8") (pintype "passive") (tstamp 755dd900-51c6-40d4-b55b-93c4f898e401))
+    (model "${KICAD6_3DMODEL_DIR}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x04_P2.54mm_Vertical.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "B.Cu")
+    (tedit 5F68FEEE) (tstamp cc54e68f-987b-4052-9ed1-770ac4199a0a)
+    (at 123.25 92.75)
+    (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+    (tags "capacitor")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f2fc371f-e5a1-47d5-a802-b54c14e93663")
+    (attr smd)
+    (fp_text reference "C16" (at 0 1.43) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 3220cefb-014f-4fe9-a4cf-da9a44af58e2)
+    )
+    (fp_text value "100n" (at 0 -1.43) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 20d1ae29-b77d-430b-955d-d9dfc9cf6a83)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") hide
+      (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror))
+      (tstamp 2d220854-b98d-455e-beb3-cb70d0b3e416)
+    )
+    (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 6971631b-b3de-461f-9cdd-3e93a31dcd2c))
+    (fp_line (start -0.14058 0.51) (end 0.14058 0.51) (layer "B.SilkS") (width 0.12) (tstamp adaf05b7-68f2-4660-ae19-ed133fc269ce))
+    (fp_line (start -1.48 -0.73) (end -1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 187aab6b-146d-42fb-ab92-0eecc786a2b4))
+    (fp_line (start -1.48 0.73) (end 1.48 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 43ab600b-ad88-41e4-a014-b18af6ddf96d))
+    (fp_line (start 1.48 0.73) (end 1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 9bef324d-0d42-4d9c-b1b9-a538621596e6))
+    (fp_line (start 1.48 -0.73) (end -1.48 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d590f276-fe91-4511-8ced-c7d0416a1d1e))
+    (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 82c91c6f-e0e2-44ef-9861-34c8ec7bd5ed))
+    (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 931a0539-301b-4c92-9a97-400a7d1e0347))
+    (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp b5d72ed1-61d7-4f5b-a8a8-d1d714d14f00))
+    (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp d32160b4-0843-44fd-926c-b5621ad35369))
+    (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pintype "passive") (tstamp d23bd2b8-a614-4e1a-bd43-a5d7404215bb))
+    (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pintype "passive") (tstamp b8e9655a-fd27-4bb1-b2bb-87927a904eaf))
+    (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" locked (layer "B.Cu")
+    (tedit 5A19A422) (tstamp cee91783-06f7-427a-8479-598a6367ac7c)
+    (at 101.29 103.8)
+    (descr "Through hole straight socket strip, 2x04, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated")
+    (tags "Through hole socket strip THT 2x04 2.54mm double row")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/f59ee99e-0d59-4645-9027-6900c1022f95")
+    (attr through_hole)
+    (fp_text reference "J2" (at -1.27 2.77) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 1b5261a8-be28-4144-a35c-a00bfab95aa2)
+    )
+    (fp_text value "EXT_OUT" (at -1.27 -10.39) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 056f25db-54d2-4888-b058-ea7b4b37f44a)
+    )
+    (fp_text user "${REFERENCE}" (at -1.27 -3.81 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 2f376255-13ec-4c6d-afc1-3ed533c7cc41)
+    )
+    (fp_line (start -3.87 1.33) (end -3.87 -8.95) (layer "B.SilkS") (width 0.12) (tstamp 54077fd9-afd6-4b53-ba23-8968016075bb))
+    (fp_line (start -1.27 1.33) (end -1.27 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 90587b02-9f9d-4362-b14f-614d0c56271b))
+    (fp_line (start -1.27 -1.27) (end 1.33 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 9bc1cded-2435-40cd-bf1f-1b9b1258aa66))
+    (fp_line (start -3.87 1.33) (end -1.27 1.33) (layer "B.SilkS") (width 0.12) (tstamp 9fe94f7a-05db-4d72-b940-3a311e9a4dc4))
+    (fp_line (start 1.33 -1.27) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp a2910e04-5e53-4809-82ef-beb68dd3c947))
+    (fp_line (start -3.87 -8.95) (end 1.33 -8.95) (layer "B.SilkS") (width 0.12) (tstamp cc24639b-2387-47ca-99a9-a8084db79346))
+    (fp_line (start 1.33 1.33) (end 1.33 0) (layer "B.SilkS") (width 0.12) (tstamp cc7de38f-2949-4609-bd75-b8e4d1d66dda))
+    (fp_line (start 0 1.33) (end 1.33 1.33) (layer "B.SilkS") (width 0.12) (tstamp f8053c23-b45b-4dd2-ba9a-bf6c02c43233))
+    (fp_line (start 1.76 -9.4) (end -4.34 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp 17d22300-c6d7-461f-aeb1-2a6d0c6c5e01))
+    (fp_line (start -4.34 -9.4) (end -4.34 1.8) (layer "B.CrtYd") (width 0.05) (tstamp 72f5cd15-517f-4766-bbb6-604a0cd1c53a))
+    (fp_line (start -4.34 1.8) (end 1.76 1.8) (layer "B.CrtYd") (width 0.05) (tstamp b9ebf877-78d8-4104-b355-ccecc2c83542))
+    (fp_line (start 1.76 1.8) (end 1.76 -9.4) (layer "B.CrtYd") (width 0.05) (tstamp d758f154-0a9b-4564-a53a-aaf0eb02c3fc))
+    (fp_line (start 0.27 1.27) (end 1.27 0.27) (layer "B.Fab") (width 0.1) (tstamp 0cb5b15d-612c-4456-b90a-debf88a43cfd))
+    (fp_line (start 1.27 -8.89) (end -3.81 -8.89) (layer "B.Fab") (width 0.1) (tstamp 517d8c69-d8c6-4e9a-8a56-0602199a7b09))
+    (fp_line (start 1.27 0.27) (end 1.27 -8.89) (layer "B.Fab") (width 0.1) (tstamp a52c77b7-794c-4110-8e26-538b6cee7e06))
+    (fp_line (start -3.81 1.27) (end 0.27 1.27) (layer "B.Fab") (width 0.1) (tstamp b618fec3-cc98-4a9e-8924-888e2f8c02f2))
+    (fp_line (start -3.81 -8.89) (end -3.81 1.27) (layer "B.Fab") (width 0.1) (tstamp e28cbf22-5710-443b-97ab-e217a61f55d9))
+    (pad "1" thru_hole rect locked (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 7 "Net-(F1-Pad2)") (pinfunction "Pin_1") (pintype "passive") (tstamp 844e90d5-6188-41c2-b4ce-2ab247505037))
+    (pad "2" thru_hole oval locked (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 8 "Net-(F2-Pad1)") (pinfunction "Pin_2") (pintype "passive") (tstamp 2b05efd3-f61c-4d66-932a-f6ec582507d4))
+    (pad "3" thru_hole oval locked (at 0 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 11 "Net-(F3-Pad2)") (pinfunction "Pin_3") (pintype "passive") (tstamp 1779434c-282d-4eaf-b852-3cd34ced0737))
+    (pad "4" thru_hole oval locked (at -2.54 -2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 12 "Net-(F4-Pad1)") (pinfunction "Pin_4") (pintype "passive") (tstamp 05aa585c-b648-4ca9-a89f-ac6c8a4890b6))
+    (pad "5" thru_hole oval locked (at 0 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 15 "Net-(F5-Pad2)") (pinfunction "Pin_5") (pintype "passive") (tstamp 6bbe4d52-8b00-40a7-8e2b-2fc42030a13e))
+    (pad "6" thru_hole oval locked (at -2.54 -5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 16 "Net-(F6-Pad1)") (pinfunction "Pin_6") (pintype "passive") (tstamp c42ee3ce-a50b-4b67-aa71-3b76d6f952ad))
+    (pad "7" thru_hole oval locked (at 0 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 3 "+12VA") (pinfunction "Pin_7") (pintype "passive") (tstamp 2f0aa3c0-f278-41f5-ad27-87a8ecaab671))
+    (pad "8" thru_hole oval locked (at -2.54 -7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+      (net 4 "GNDA") (pinfunction "Pin_8") (pintype "passive") (tstamp d4eee749-0531-4ed1-baac-f712b7278b3a))
+    (model "${KICAD6_3DMODEL_DIR}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x04_P2.54mm_Vertical.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (layer "B.Cu")
+    (tedit 5D9F72B1) (tstamp d7f9a847-b8e9-478b-9bec-3964d9eb41ce)
+    (at 116.25 97 90)
+    (descr "SOIC, 16 Pin (JEDEC MS-013AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+    (tags "SOIC SO")
+    (property "Sheetfile" "icE1usb-rs422.kicad_sch")
+    (property "Sheetname" "")
+    (path "/38908880-dacf-469d-843a-1aa389d299dd")
+    (attr smd)
+    (fp_text reference "U7" (at 0 6.1 90) (layer "B.SilkS") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp b056f723-d4b0-4b58-aee7-f91b5e1b1157)
+    )
+    (fp_text value "TCA9534" (at 0 -6.1 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 96d0694f-a49d-4119-92d8-80db9729a42e)
+    )
+    (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") hide
+      (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+      (tstamp 61b957da-442d-4739-ac99-fff994b5b289)
+    )
+    (fp_line (start 3.86 -5.26) (end 3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp 5c89f365-4524-4907-b7b6-a9d5f359eaaa))
+    (fp_line (start 0 -5.26) (end -3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp a9f009f5-2776-4c95-a3fa-a99b1143bfcc))
+    (fp_line (start 0 5.26) (end 3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp af9c731f-fd89-41fd-b6d9-02cd14161823))
+    (fp_line (start 0 5.26) (end -3.86 5.26) (layer "B.SilkS") (width 0.12) (tstamp bd905341-e4a4-4b92-8741-aeb5a63a0962))
+    (fp_line (start -3.86 -5.26) (end -3.86 -5.005) (layer "B.SilkS") (width 0.12) (tstamp bdfa2a1c-06a6-4c3b-aef0-1bbb830308a6))
+    (fp_line (start -3.86 5.26) (end -3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp c0fa3f69-18a0-4039-b403-754bd11f0579))
+    (fp_line (start 3.86 5.26) (end 3.86 5.005) (layer "B.SilkS") (width 0.12) (tstamp c67cb9b8-3394-49bd-82c1-b10209bcf4c8))
+    (fp_line (start 0 -5.26) (end 3.86 -5.26) (layer "B.SilkS") (width 0.12) (tstamp de81feda-4e5b-444c-bc78-abaaf5e91223))
+    (fp_line (start -3.86 5.005) (end -5.675 5.005) (layer "B.SilkS") (width 0.12) (tstamp ff07e8e7-4e6f-49d0-b63b-6a24c1c5b7e8))
+    (fp_line (start -5.93 -5.4) (end 5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp 27c42099-0317-4345-98fd-58d5573509c9))
+    (fp_line (start 5.93 -5.4) (end 5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 42707c59-dd4c-4c49-bdfd-bcfdbfc641c7))
+    (fp_line (start 5.93 5.4) (end -5.93 5.4) (layer "B.CrtYd") (width 0.05) (tstamp 4adb8ebd-1f79-4b2b-a010-23ceee34378f))
+    (fp_line (start -5.93 5.4) (end -5.93 -5.4) (layer "B.CrtYd") (width 0.05) (tstamp cb45a2d7-0f66-4317-a8be-e734daeef1cb))
+    (fp_line (start -3.75 -5.15) (end -3.75 4.15) (layer "B.Fab") (width 0.1) (tstamp 27808f47-2701-4ad2-be13-75da9816d1cb))
+    (fp_line (start -2.75 5.15) (end 3.75 5.15) (layer "B.Fab") (width 0.1) (tstamp 432bd9c0-5911-4581-9115-816d6201bdcd))
+    (fp_line (start 3.75 5.15) (end 3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp 5f70b83d-b94a-44bd-99a2-c2396375a4ce))
+    (fp_line (start 3.75 -5.15) (end -3.75 -5.15) (layer "B.Fab") (width 0.1) (tstamp aa9a7955-6f79-4ab6-956c-0ea64130df2f))
+    (fp_line (start -3.75 4.15) (end -2.75 5.15) (layer "B.Fab") (width 0.1) (tstamp f375a2a1-87d7-4e83-ad5d-2fd7db7f2bd5))
+    (pad "1" smd roundrect (at -4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "A0") (pintype "input") (tstamp c37de346-4244-4c8a-9b3b-8db83c2ab3a0))
+    (pad "2" smd roundrect (at -4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "A1") (pintype "input") (tstamp 97502512-4d89-477b-8ec7-87a105c41ea6))
+    (pad "3" smd roundrect (at -4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "A2") (pintype "input") (tstamp 8c82f3a8-4b8a-4be2-a405-723ab07f5a91))
+    (pad "4" smd roundrect (at -4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 46 "/~{TXD_RE}") (pinfunction "P0") (pintype "bidirectional") (tstamp 51d91408-5496-4498-a302-7a17e488b702))
+    (pad "5" smd roundrect (at -4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 47 "/TXD_DE") (pinfunction "P1") (pintype "bidirectional") (tstamp e65f3f49-00b8-479e-b4ab-d3cfd0590e3f))
+    (pad "6" smd roundrect (at -4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 44 "/~{RXD_RE}") (pinfunction "P2") (pintype "bidirectional") (tstamp 5665358d-2853-4155-8baa-eb2cc1c6b4f0))
+    (pad "7" smd roundrect (at -4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 45 "/RXD_DE") (pinfunction "P3") (pintype "bidirectional") (tstamp 3f14fcc5-2003-49af-9ae0-8d01531b7522))
+    (pad "8" smd roundrect (at -4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 4 "GNDA") (pinfunction "GND") (pintype "power_in") (tstamp 64141e08-3889-4545-abae-5e341216b94c))
+    (pad "9" smd roundrect (at 4.65 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 51 "/PPS_DE") (pinfunction "P4") (pintype "bidirectional") (tstamp d6ad5e7b-b609-47f5-a8ff-47d91c7224c0))
+    (pad "10" smd roundrect (at 4.65 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 43 "/~{PPS_RE}") (pinfunction "P5") (pintype "bidirectional") (tstamp 95d582ee-c320-4fa2-9cbc-696cb7995fca))
+    (pad "11" smd roundrect (at 4.65 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 48 "unconnected-(U7-Pad11)") (pinfunction "P6") (pintype "bidirectional+no_connect") (tstamp 95cf03b1-16c5-4161-ab0e-5ce9ee82b5a5))
+    (pad "12" smd roundrect (at 4.65 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 49 "unconnected-(U7-Pad12)") (pinfunction "P7") (pintype "bidirectional+no_connect") (tstamp 5116e592-84e3-42de-8456-0167083d6be4))
+    (pad "13" smd roundrect (at 4.65 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 50 "unconnected-(U7-Pad13)") (pinfunction "~{INT}") (pintype "open_collector+no_connect") (tstamp 19ec8779-7fcd-4358-b421-b2cc0c475ad6))
+    (pad "14" smd roundrect (at 4.65 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 52 "/SCL_ISO") (pinfunction "SCL") (pintype "input") (tstamp 8fe33273-9712-43ac-b3c0-63af62f7bff5))
+    (pad "15" smd roundrect (at 4.65 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 53 "/SDA_ISO") (pinfunction "SDA") (pintype "bidirectional") (tstamp 6b31ea82-14d9-48c7-b84d-1d639cd12fb6))
+    (pad "16" smd roundrect (at 4.65 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25)
+      (net 5 "+5VA") (pinfunction "VDD") (pintype "power_in") (tstamp b202e4f0-9bd8-4610-8675-fba4a5b8c8df))
+    (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16W_7.5x10.3mm_P1.27mm.wrl"
+      (offset (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (gr_line (start 126.25 95.25) (end 125.5 94.5) (layer "F.SilkS") (width 0.5) (tstamp 033fbfba-0ffd-4a39-82c3-edd66537f4b2))
+  (gr_line (start 111 85.75) (end 110.5 85.25) (layer "F.SilkS") (width 0.5) (tstamp 248e71ea-7b98-46a9-ab0a-f24e6a81167e))
+  (gr_line (start 126.25 107.75) (end 126.25 95.25) (layer "F.SilkS") (width 0.5) (tstamp 735605a3-014f-4b2c-a7f1-60d94b7cd424))
+  (gr_line (start 124.75 90) (end 111 90) (layer "F.SilkS") (width 0.5) (tstamp 76cff5db-d7a9-458e-b61e-f684807a6b7a))
+  (gr_line (start 125.5 90.75) (end 124.75 90) (layer "F.SilkS") (width 0.5) (tstamp 7eb5dad8-44d0-4436-8cef-54a06833d708))
+  (gr_line (start 111 90) (end 111 85.75) (layer "F.SilkS") (width 0.5) (tstamp 91d6c719-b25b-4127-9179-1bb159657afa))
+  (gr_line (start 125.5 94.5) (end 125.5 90.75) (layer "F.SilkS") (width 0.5) (tstamp f3d04cd3-7169-46cb-9317-7f55b5eaaf20))
+  (gr_line (start 97.5 108) (end 133 108) (layer "Edge.Cuts") (width 0.1) (tstamp 0d083707-8512-4da2-a292-10ef314ade08))
+  (gr_arc (start 110.75 84.5) (mid 110.457107 85.207107) (end 109.75 85.5) (layer "Edge.Cuts") (width 0.1) (tstamp 23464ec9-515c-45c6-884f-066f66b749f4))
+  (gr_arc (start 105 86.5) (mid 104.707107 87.207107) (end 104 87.5) (layer "Edge.Cuts") (width 0.1) (tstamp 36f7a3dd-c979-45c5-9ddf-fb36728935f7))
+  (gr_line (start 110.75 84.5) (end 110.75 76.5) (layer "Edge.Cuts") (width 0.1) (tstamp 3cc4c6dc-c984-489a-9f9c-c1ac17a03e34))
+  (gr_line (start 97.5 87.5) (end 104 87.5) (layer "Edge.Cuts") (width 0.1) (tstamp 5c02a6b9-63a1-4b4c-9034-fa447e4a7c0b))
+  (gr_arc (start 96.5 88.5) (mid 96.792893 87.792893) (end 97.5 87.5) (layer "Edge.Cuts") (width 0.1) (tstamp 67de91e7-3618-4a9d-952b-0583e0464307))
+  (gr_line (start 133 75.5) (end 111.75 75.5) (layer "Edge.Cuts") (width 0.1) (tstamp 93bf239c-de52-4ed0-bd71-b4cc6b1e6818))
+  (gr_line (start 134 107) (end 134 76.5) (layer "Edge.Cuts") (width 0.1) (tstamp 94f21563-47ef-4dbf-9b69-8325f1f28d3a))
+  (gr_line (start 106 85.5) (end 109.75 85.5) (layer "Edge.Cuts") (width 0.1) (tstamp aa3d5ccb-b6ad-4844-a479-3001a30653eb))
+  (gr_arc (start 105 86.5) (mid 105.292893 85.792893) (end 106 85.5) (layer "Edge.Cuts") (width 0.1) (tstamp b07f2550-c73d-43f3-be86-7285ac29085d))
+  (gr_arc (start 110.75 76.5) (mid 111.042893 75.792893) (end 111.75 75.5) (layer "Edge.Cuts") (width 0.1) (tstamp b0eff3ec-ec4d-42d0-8227-0d5a307a43da))
+  (gr_arc (start 134 107) (mid 133.707107 107.707107) (end 133 108) (layer "Edge.Cuts") (width 0.1) (tstamp b6f75321-1ba2-429b-80d3-016b6cf97049))
+  (gr_arc (start 97.5 108) (mid 96.792893 107.707107) (end 96.5 107) (layer "Edge.Cuts") (width 0.1) (tstamp baa4efd3-25b5-48b7-8fae-86e645808cba))
+  (gr_arc (start 133 75.5) (mid 133.707107 75.792893) (end 134 76.5) (layer "Edge.Cuts") (width 0.1) (tstamp ebeb7bb6-6c64-4331-b394-cb8ad3b6d1c2))
+  (gr_line (start 96.5 88.5) (end 96.5 107) (layer "Edge.Cuts") (width 0.1) (tstamp f5f09b0b-d367-4a1c-a33d-2f65add7dc7d))
+
+  (segment (start 117.2225 87.4) (end 117.2225 86.5275) (width 0.5) (layer "F.Cu") (net 1) (tstamp 05ec8c96-ca5b-451c-8ade-eb385a8ba5b2))
+  (segment (start 117.2225 86.5275) (end 117.5 86.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0e041f17-b4a8-4717-a542-103c4766affd))
+  (segment (start 117.475 85.5) (end 117.475 85.275) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1e5ea7db-988c-43bc-a229-ae3a39e53fc3))
+  (segment (start 125.25 80.075) (end 123.825 80.075) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1eef3800-23de-4f5c-a74a-a7e168b4d98e))
+  (segment (start 115.1 82.15) (end 115.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2982e241-62ec-4cf3-b430-21765e147cab))
+  (segment (start 116.75 80.075) (end 116.75 81.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 2b5354d0-e92b-43c9-8854-8f2ecd28808b))
+  (segment (start 123.725 81.5) (end 122.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 33824e20-908f-4940-a228-543a39471fdf))
+  (segment (start 117.475 85.5) (end 117.475 86.225) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3bd41dd8-9ed1-4185-aff3-2fcc217b1a97))
+  (segment (start 116.825 80.075) (end 116.75 80) (width 0.2) (layer "F.Cu") (net 1) (tstamp 3eb44b9e-ebd5-420c-b58c-d726c0753114))
+  (segment (start 123.75 80.075) (end 123.75 81.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 484e0104-9f05-4c44-91d7-f1261cf16924))
+  (segment (start 116.725 81.5) (end 115.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4d3a23d4-d9ec-4d04-b8c7-66d7fe5e5356))
+  (segment (start 132.25 80.075) (end 130.825 80.075) (width 0.2) (layer "F.Cu") (net 1) (tstamp 58a95992-81ea-48c2-9adf-2f4c01e4c3be))
+  (segment (start 122.775 85.975) (end 122.75 86) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5b09a48f-0c9c-4d51-991c-d4eeb77a5afe))
+  (segment (start 122.655 87.525) (end 122.655 86.095) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5e140718-540d-4462-9b04-e0057481ecfd))
+  (segment (start 130.725 81.5) (end 129.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6439a554-16df-49c1-88ac-be8175c7e389))
+  (segment (start 130.75 80.075) (end 130.75 81.5) (width 0.2) (layer "F.Cu") (net 1) (tstamp 678213b4-b5b3-4226-aa15-405e860252fa))
+  (segment (start 122.1 82.15) (end 122.625 81.625) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6ee795d2-c1be-4af0-a74a-70ace16dd04c))
+  (segment (start 128.975 82.15) (end 129.1 82.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7e37d0eb-63c3-42f8-9f5d-3994d0e64f4a))
+  (segment (start 117.475 85.275) (end 118 84.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 87e2a2d4-27c8-4d82-94e7-b35fed47b68f))
+  (segment (start 130.825 80.075) (end 130.75 80) (width 0.2) (layer "F.Cu") (net 1) (tstamp 8bfa298c-cf1c-4e79-98c9-11c3f0f858e3))
+  (segment (start 121.975 82.15) (end 122.1 82.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8e967b1a-92cd-45b1-8d7e-59772b0f0acb))
+  (segment (start 122.655 86.095) (end 122.75 86) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9e118470-4ba0-410f-aca3-f91da1336d3e))
+  (segment (start 122.625 81.625) (end 122.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp a14d9d7c-ff70-4bb9-82b1-9548157ae49c))
+  (segment (start 122.775 85) (end 122.775 85.975) (width 0.5) (layer "F.Cu") (net 1) (tstamp a4fffd9a-1c7b-48f3-b2bd-6b61a7c4ad81))
+  (segment (start 123.825 80.075) (end 123.75 80) (width 0.2) (layer "F.Cu") (net 1) (tstamp b55f2809-29e2-4271-a903-97c1a42d39e4))
+  (segment (start 118.25 80.075) (end 116.825 80.075) (width 0.2) (layer "F.Cu") (net 1) (tstamp b7cfa94c-97df-4fe0-acab-e1f732840cc6))
+  (segment (start 132.475 88.095) (end 131 88.095) (width 0.5) (layer "F.Cu") (net 1) (tstamp c9fba59c-0797-4159-b88f-e21f1bc3254d))
+  (segment (start 114.975 82.15) (end 115.1 82.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp cddad5eb-4b9a-4025-83b3-680bd16d100d))
+  (segment (start 117.475 86.225) (end 117.5 86.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp f54ea852-00c3-4b2d-b599-b80567edbc3d))
+  (segment (start 129.1 82.15) (end 129.75 81.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp fcfb411e-b066-4caa-aee0-af071d12385b))
+  (via (at 129.75 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 03508329-8b9a-4921-84b6-ec7f4f1562aa))
+  (via (at 131 88.095) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 4742447f-c4fb-4697-a150-8bfe62991dcd))
+  (via (at 120 84.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 816fca37-f6b7-4e51-83a6-2d4531cfb64c))
+  (via (at 118 84.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 882f551f-237b-4d08-90f0-fd249aa27601))
+  (via (at 122.75 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 9a6a473f-018e-46c0-9e88-f2681eb36070))
+  (via (at 115.75 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 9a7afef1-57b0-447f-816f-df29a1be26c3))
+  (via (at 120.695 79.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp bb2769c6-9f54-4a6b-99a9-bb41a6d6d3cf))
+  (via (at 122.75 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp bbf57be6-176f-432d-806c-540a678cff1e))
+  (segment (start 120.695 78.35) (end 120.695 79.75) (width 0.5) (layer "B.Cu") (net 1) (tstamp 36604703-d87c-4169-ae31-54931766934b))
+  (segment (start 120.775 77.725) (end 120.75 77.75) (width 0.5) (layer "B.Cu") (net 1) (tstamp 428056b7-6883-47e0-996e-07849d3dd752))
+  (segment (start 119.5 85.25) (end 120 84.75) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6f2504c4-47f4-4fe9-949d-399421c75232))
+  (segment (start 120.695 87.65) (end 120.695 87.195) (width 0.2) (layer "B.Cu") (net 1) (tstamp 78502083-e6a7-4843-9067-8c2e11e42a08))
+  (segment (start 119.5 86) (end 119.5 85.25) (width 0.2) (layer "B.Cu") (net 1) (tstamp 8391b461-cd7a-41c4-b40f-bbaa38c076f4))
+  (segment (start 122.5 77.725) (end 120.775 77.725) (width 0.5) (layer "B.Cu") (net 1) (tstamp ad0c3375-c178-4dc2-9a2b-69aaa532ce01))
+  (segment (start 120.695 87.195) (end 119.5 86) (width 0.2) (layer "B.Cu") (net 1) (tstamp b6a04481-31e4-4a07-9630-60a503ba05c9))
+  (segment (start 119.025 85.5) (end 119.025 86.225) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1354f299-c5a4-41b0-9664-64fb10a65f01))
+  (segment (start 120.025 77.85) (end 120.025 76.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp 16d8cac9-51b0-4957-9060-0b65ac79c181))
+  (segment (start 125.275 81.5) (end 126 81.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 38719a21-827e-4ded-9b0c-0b0101b0b187))
+  (segment (start 118.275 81.5) (end 119 81.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 40ee3d89-8dcc-4516-bfe6-174da046c59f))
+  (segment (start 127.525 88.095) (end 129 88.095) (width 0.5) (layer "F.Cu") (net 2) (tstamp 431ad643-bff3-4dff-97e5-48074a23079b))
+  (segment (start 121.225 85) (end 121.225 84.525) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6ea95377-3f37-4997-a420-04ef6e18075e))
+  (segment (start 132.275 81.5) (end 133 81.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 94d33b43-0399-43e0-bb23-db5e2965dd8a))
+  (segment (start 119.025 86.225) (end 118.845 86.405) (width 0.5) (layer "F.Cu") (net 2) (tstamp 96c9f851-2518-4cde-b6fb-cfc90510c101))
+  (segment (start 119.025 84.775) (end 119 84.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9b00b1d0-c1d1-4e9b-be27-5a361480dcea))
+  (segment (start 127.525 89.365) (end 129 89.365) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9c7f6eb0-efa8-4e45-8424-7b92d4f74bc4))
+  (segment (start 132.475 89.365) (end 131 89.365) (width 0.5) (layer "F.Cu") (net 2) (tstamp a5fb2400-35b0-40ca-ab98-65f9ddff5a8f))
+  (segment (start 119.025 85.5) (end 119.025 84.775) (width 0.5) (layer "F.Cu") (net 2) (tstamp a96feb18-370f-4c14-a199-f20a0731a982))
+  (segment (start 127.525 91.905) (end 129 91.905) (width 0.5) (layer "F.Cu") (net 2) (tstamp b5107875-0658-4ef7-8043-ee00f74b728e))
+  (segment (start 127.025 77.85) (end 127.025 76.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp b68335df-6fa8-4f17-9e8c-f2c903c309f9))
+  (segment (start 121.225 84.525) (end 121.5 84.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp bcfc5c2e-78b5-4cd4-9934-07866ef49a0e))
+  (segment (start 112.7775 87.4) (end 112.7775 86) (width 0.5) (layer "F.Cu") (net 2) (tstamp cf7e0839-2d40-43df-a7ca-74a279f774e7))
+  (segment (start 127.525 90.635) (end 129 90.635) (width 0.5) (layer "F.Cu") (net 2) (tstamp d648fbd9-c571-4fd6-ab3b-8c742a948067))
+  (segment (start 113.025 77.85) (end 113.025 76.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp e732881b-68c2-44bc-95ff-d9f5291eafcf))
+  (segment (start 118.845 87.525) (end 118.845 86.405) (width 0.5) (layer "F.Cu") (net 2) (tstamp fa5595ae-2bdf-4aa8-856d-99301f166826))
+  (via (at 133 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 1b8fa0da-116f-48ea-bbcf-2bd9d85e5a76))
+  (via (at 119 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 207efded-015c-404c-b74c-23ce919fc104))
+  (via (at 126 81.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 29537f0f-da2b-472f-b722-e26694dcd1c3))
+  (via (at 127.025 76.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 2b99fdec-82b4-47a5-a4f8-5d9c95c451c4))
+  (via (at 129 88.095) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 347584a6-23e9-4c54-94c4-0a7281acdfd7))
+  (via (at 112.7775 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 3f99eeef-002f-4a92-8882-4d617874e6cb))
+  (via (at 119 84.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 47bfcee2-3389-41eb-ab95-bde53c1883f7))
+  (via (at 121.75 79.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 50417079-2e14-4d31-8aa3-25cd19760c02))
+  (via (at 129 91.905) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 63e8532e-fe1d-44ce-bc91-ddcfd2ad101f))
+  (via (at 129 90.635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 7685ddc5-87a4-40d0-95ce-0e0c3d58bb90))
+  (via (at 113.025 76.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 7d4be0a4-80a3-4d69-bc21-05cdb9d7c738))
+  (via (at 121.5 84.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp a6ce97b4-458d-417c-a200-6a8684aea823))
+  (via (at 131 89.365) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp da96b323-f1ef-468b-aa37-73bcad895377))
+  (via (at 129 89.365) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp e3e55b2d-62fd-4da0-8c96-636730ff47d3))
+  (via (at 120.025 76.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp f5eea220-f730-4ebd-b944-0ed11b1ce27b))
+  (via (at 111.805 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp fd155501-36ad-4dc7-85a6-e5970f54b361))
+  (segment (start 119 85.25) (end 119 84.75) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1f932c7c-4662-4355-932b-ba179346ce54))
+  (segment (start 111.805 87.65) (end 111.805 86) (width 0.5) (layer "B.Cu") (net 2) (tstamp 5758e8e9-9376-441f-b071-0fa07fc961ab))
+  (segment (start 122.475 79.25) (end 121.75 79.25) (width 0.5) (layer "B.Cu") (net 2) (tstamp 642a4814-19e7-42e2-ad45-d3592fa6a4d6))
+  (segment (start 118.155 86.095) (end 119 85.25) (width 0.2) (layer "B.Cu") (net 2) (tstamp 673dfc93-ebce-49eb-93fc-cf0a40445814))
+  (segment (start 118.4 87.65) (end 118.25 87.5) (width 0.2) (layer "B.Cu") (net 2) (tstamp 889dc843-9c2e-4a94-80f2-eb929ee41e4e))
+  (segment (start 119.425 87.65) (end 118.4 87.65) (width 0.2) (layer "B.Cu") (net 2) (tstamp a3a14b4b-681a-420d-98c0-261106861cbc))
+  (segment (start 122.5 79.275) (end 122.475 79.25) (width 0.5) (layer "B.Cu") (net 2) (tstamp be1f9efc-932a-47f9-9b7c-c1442a1bf221))
+  (segment (start 118.155 87.65) (end 118.155 86.095) (width 0.2) (layer "B.Cu") (net 2) (tstamp ce588826-1afe-4eab-b7c3-ff613af6f064))
+  (segment (start 120.75 107) (end 120.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 00defe9f-f20b-408c-add3-6b56512b4ccd))
+  (segment (start 112.7775 92.6) (end 112.7775 93.6625) (width 0.5) (layer "F.Cu") (net 4) (tstamp 06be841a-92b2-46db-9348-a93bab05bbb4))
+  (segment (start 119.025 94.5) (end 119.025 93.775) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1046ae99-94e0-44ca-91ec-98503624acf6))
+  (segment (start 113.925 98) (end 113.925 97) (width 0.2) (layer "F.Cu") (net 4) (tstamp 1095e63e-2116-4e9f-9803-e62a122f0c76))
+  (segment (start 104.825 96) (end 105.5 96) (width 0.75) (layer "F.Cu") (net 4) (tstamp 11648f61-2841-47f4-827c-400b5c95903b))
+  (segment (start 114.345 106.595) (end 114.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1b6464ac-390c-4c36-b3a9-66eecb07bc12))
+  (segment (start 108.345 104.975) (end 108.345 106.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1bf3e7f4-16da-46ac-a57a-a028669d9ec3))
+  (segment (start 108.345 106.595) (end 108.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1d5d27d0-392b-49de-a730-0fe07632a9f6))
+  (segment (start 119.025 93.775) (end 118.845 93.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 1f09ed5a-03a6-4f70-877f-61dd5f8527fc))
+  (segment (start 114.75 107) (end 114.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 29235e6e-98f0-4499-8910-c32dd25c5ec0))
+  (segment (start 120.5 95.5) (end 121 95) (width 0.5) (layer "F.Cu") (net 4) (tstamp 2ccad09c-2d77-4bea-af38-d2b333e3c4e4))
+  (segment (start 119.925 98) (end 119.925 97) (width 0.2) (layer "F.Cu") (net 4) (tstamp 317a8c93-6660-4f5d-9e44-ae14528efd27))
+  (segment (start 120.345 104.975) (end 120.345 106.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 37ca8dd7-8a69-4177-a52a-65d1f112c912))
+  (segment (start 121.475 107) (end 120.75 107) (width 0.5) (layer "F.Cu") (net 4) (tstamp 410cc68f-f064-4e24-b8bf-d980ccdcae0d))
+  (segment (start 114.345 104.975) (end 114.345 106.595) (width 0.5) (layer "F.Cu") (net 4) (tstamp 4642e9be-4b5e-4d42-a1ba-9d7fe28ef450))
+  (segment (start 108.75 107) (end 108.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp 488a599a-e4de-48c8-ae1b-ed561d416c69))
+  (segment (start 108.75 90.5) (end 108.75 89.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp 48ec5fa5-898c-46f9-9732-56e9c749fd19))
+  (segment (start 119 95.25) (end 119 94.5) (width 0.5) (layer "F.Cu") (net 4) (tstamp 52b707bf-b475-4f26-8db5-32f29b061d30))
+  (segment (start 109.1 92.15) (end 108.75 92.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp 587e680a-ba9a-41b4-b408-4c1aba245fc7))
+  (segment (start 106.225 86.5) (end 105.5 86.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp 6501140c-468c-46f9-ad30-3987485332c0))
+  (segment (start 109.15 92.15) (end 108.75 91.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp 6d1379b0-9793-44b3-9a12-efec7a60c9ee))
+  (segment (start 109.15 90.1) (end 108.75 90.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp 6d8689f9-1766-4397-af0e-33a3da6f4c09))
+  (segment (start 112.7775 93.6625) (end 112.44 94) (width 0.5) (layer "F.Cu") (net 4) (tstamp 839b5cb1-ccff-4f51-9408-278e1563b753))
+  (segment (start 121 95) (end 121.25 95) (width 0.5) (layer "F.Cu") (net 4) (tstamp 98850a69-5ef2-447e-83a8-70e75f784e28))
+  (segment (start 109.1 90.1) (end 108.75 89.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp b607a9ec-576d-41c5-b3d2-c23f50a93d1d))
+  (segment (start 115.475 107) (end 114.75 107) (width 0.5) (layer "F.Cu") (net 4) (tstamp bef50f98-cdc1-4933-a4e9-7bd941a49f0c))
+  (segment (start 120.345 106.595) (end 120.5 106.75) (width 0.5) (layer "F.Cu") (net 4) (tstamp c6004c9d-08ec-4cd5-881f-46a7b353239c))
+  (segment (start 118.845 93.595) (end 118.845 92.475) (width 0.5) (layer "F.Cu") (net 4) (tstamp d6db7abd-f2b9-49b3-99c1-2d787a261819))
+  (segment (start 109.1 92.15) (end 109.75 92.15) (width 0.75) (layer "F.Cu") (net 4) (tstamp e015bc93-1b66-423f-85b5-6a9d37d691b4))
+  (segment (start 108.75 92.5) (end 108.75 91.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp e61c41c8-a2b1-48e2-87df-a013b0ed765a))
+  (segment (start 109.1 90.1) (end 109.75 90.1) (width 0.75) (layer "F.Cu") (net 4) (tstamp ecc53994-a381-4ca0-a889-fcf798f0afad))
+  (segment (start 109.475 107) (end 108.75 107) (width 0.5) (layer "F.Cu") (net 4) (tstamp edfd7a7e-386d-4d05-acac-026dbb99190e))
+  (segment (start 106.225 96) (end 105.5 96) (width 0.75) (layer "F.Cu") (net 4) (tstamp f85daa51-e985-4aee-8ba8-3382cc949414))
+  (segment (start 107.925 98) (end 107.925 97) (width 0.2) (layer "F.Cu") (net 4) (tstamp fc87f28d-8553-41ec-8df5-f79a7a94588e))
+  (via (at 98.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 0231df1c-6719-4b53-a1fb-596c8f5bb99a))
+  (via (at 108.75 91.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 0446be22-df80-4dab-bc3c-30c667f4fe27))
+  (via (at 100.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 0a84c0ec-cd78-4912-9130-331b12f74481))
+  (via (at 102.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 186cd059-11f3-453c-b7f4-4500162cb74c))
+  (via (at 101.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 1d770c98-31fe-40e9-88c5-00d9e06aa691))
+  (via (at 101.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 1fecde89-f739-4580-85c2-dcadaafa97c3))
+  (via (at 98.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 2f6cb397-4b8a-4699-972d-f462488724db))
+  (via (at 108.75 90.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 3280f0bb-8147-4ab0-9218-62844b257ccd))
+  (via (at 108.75 92.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 3bc79e6d-1bc3-4e35-a024-5dc6d16645f7))
+  (via (at 108.75 89.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 3edc0f8e-5a99-4304-95cb-e55a9e8b7ab2))
+  (via (at 112.44 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 44626f71-15df-42ec-9d4f-9c789cd8bfa4))
+  (via (at 101.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 461ea9bd-9c7b-4fa0-bf61-43d81ed50520))
+  (via (at 107.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 48bc0aaa-eab1-48a6-bbac-5450f4dbb4c9))
+  (via (at 111.805 103.375) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 507b0570-ba85-43cb-93fb-76b9d3c88669))
+  (via (at 102.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 572a6522-dd8b-4d31-872a-2392bdf60226))
+  (via (at 98.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 5ca767f7-655e-482a-bcf8-19f4dbf56914))
+  (via (at 102.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 5dad6fe9-65b6-40d1-95d3-34d144a64c63))
+  (via (at 100.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 65a3f8ab-a40f-4640-97d2-c2fc37d4e775))
+  (via (at 102.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 7b1316bd-1b2d-4b4f-8100-91c6a8b08d65))
+  (via (at 124 93.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 7db7391e-dc3f-4c6c-8730-461c788a38c8))
+  (via (at 99.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 8147982d-a6a3-4e20-a1cb-e37579464893))
+  (via (at 99.25 90) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 82865aef-5be7-4a0d-9a37-7ed2ac775b89))
+  (via (at 120.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 9297e992-f625-4260-8343-64da390672af))
+  (via (at 105.5 96) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp 9c89977d-041a-4eb9-a3f5-b68313f21412))
+  (via (at 119 95.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp a322f653-03bc-4876-94ed-b3520eb51b62))
+  (via (at 101.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp a88d7c72-ce88-463c-890e-448acf03cad0))
+  (via (at 99.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp b08cbae2-e8be-4b7a-9694-e70f0ee46068))
+  (via (at 105.5 86.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp b5db8e5a-6325-4333-b5a0-baecccae1c28))
+  (via (at 119.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp b871850b-1d42-4b7d-be37-f2b4a2a10a0d))
+  (via (at 99.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp c065c4f5-1e78-42ca-b23f-2ef13c2d3f89))
+  (via (at 100.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp c55b2f8d-b4e8-44a4-8dbf-0f76745c6bd7))
+  (via (at 102.25 91) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp c6633b8c-796d-4ef9-9d94-c57bc401d318))
+  (via (at 114.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp ca9ef7e4-2d26-4d58-936a-97cf0ab7814d))
+  (via (at 101.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp caef2b39-c9e8-4853-ad37-1f173275f3b9))
+  (via (at 100.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp d23189c0-ac2a-43ad-9401-ccf18907ace3))
+  (via (at 98.25 92) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp d4270178-e139-4b4e-94b3-deb95d379148))
+  (via (at 120.5 95.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp d7413461-a536-4007-a82a-3e335d55e96c))
+  (via (at 99.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp da5879b9-13cf-41e1-838a-8584d9303e4d))
+  (via (at 98.25 89) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp f2a7d264-f349-4596-8002-8b7913980088))
+  (via (at 100.25 93) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp f4dca867-b580-43d6-bc4a-34deccc3a8b1))
+  (via (at 113.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp fe468065-8451-4379-b614-367e48f977bd))
+  (via (at 108.5 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 4) (tstamp fea974b5-a15d-4f14-9bd2-59729316a389))
+  (segment (start 119.425 103.325) (end 119.375 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 1eabb716-3e83-4f26-a098-163519262d68))
+  (segment (start 124 93.5) (end 124 92.75) (width 0.5) (layer "B.Cu") (net 4) (tstamp 3929954b-8bc0-487d-b320-e5b7c8199679))
+  (segment (start 119.425 101.65) (end 119.425 103.325) (width 0.2) (layer "B.Cu") (net 4) (tstamp 542a1f4a-54c9-4ab2-84dc-27a2a8300971))
+  (segment (start 111.805 101.65) (end 111.805 103.375) (width 0.5) (layer "B.Cu") (net 4) (tstamp 762239af-3ffb-4271-81a8-ffbcdfc230ca))
+  (segment (start 119.375 103.375) (end 118.125 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 7d707368-947a-4e6b-b182-544550470be7))
+  (segment (start 118.155 101.65) (end 118.155 103.345) (width 0.2) (layer "B.Cu") (net 4) (tstamp 843fcfae-e032-4113-93eb-17d44908b728))
+  (segment (start 118.155 103.345) (end 118.125 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 911548aa-eb6d-454f-9224-dff9d38e9fb0))
+  (segment (start 120.695 101.65) (end 120.695 103.055) (width 0.2) (layer "B.Cu") (net 4) (tstamp 922ccfbf-cb63-4418-bbf0-478e4506a7f0))
+  (segment (start 120.695 103.055) (end 120.375 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 96ccc733-c5ff-4a0c-9887-59a24da5f40d))
+  (segment (start 118.125 103.375) (end 111.805 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp 9c3b0dfa-a00b-4464-a554-3a2df34b7806))
+  (segment (start 120.375 103.375) (end 119.375 103.375) (width 0.2) (layer "B.Cu") (net 4) (tstamp f948ca26-b1ff-4808-9d2b-1077622a0aff))
+  (segment (start 117.475 94.5) (end 117.5 94.475) (width 0.5) (layer "F.Cu") (net 5) (tstamp 0bfe1a5e-f14d-459b-b3df-a38aa538169e))
+  (segment (start 122.655 93.905) (end 122.75 94) (width 0.5) (layer "F.Cu") (net 5) (tstamp 16a06a46-0c18-4a11-a568-a132104b5216))
+  (segment (start 124.575 98) (end 124.575 97) (width 0.2) (layer "F.Cu") (net 5) (tstamp 23992a1a-cfdb-41ee-9b8a-850f0a443225))
+  (segment (start 118.155 104.975) (end 118.155 106.595) (width 0.5) (layer "F.Cu") (net 5) (tstamp 33f34ac3-969e-4bb4-af26-1c53101ec146))
+  (segment (start 112.575 98) (end 112.575 97) (width 0.2) (layer "F.Cu") (net 5) (tstamp 344c8534-b789-430b-8042-4fe1e34ebe90))
+  (segment (start 117.2225 92.6) (end 117.2225 93.2225) (width 0.5) (layer "F.Cu") (net 5) (tstamp 383f5e1c-0e1f-4795-a0a1-5058e2251b54))
+  (segment (start 118 95.25) (end 117.5 94.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 389098b0-de78-430a-b6dd-81118d294462))
+  (segment (start 124.155 104.975) (end 124.155 106.595) (width 0.5) (layer "F.Cu") (net 5) (tstamp 6636e137-a48b-4198-a4c8-be95a98c59ec))
+  (segment (start 123.025 107) (end 123.75 107) (width 0.5) (layer "F.Cu") (net 5) (tstamp 66b5e965-4d7b-4f35-8f3f-a58671fc88cb))
+  (segment (start 118.155 106.595) (end 118 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 6aff7816-1d2d-4d72-8430-32cf6e3a3e67))
+  (segment (start 124.155 106.595) (end 124 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 6b63414e-efee-4683-af09-c9b48feea9d5))
+  (segment (start 122.655 92.475) (end 122.655 93.905) (width 0.5) (layer "F.Cu") (net 5) (tstamp 7f4ca891-3607-4f9c-ae85-d0d7ffd7d5cd))
+  (segment (start 117.2225 93.2225) (end 117.5 93.5) (width 0.5) (layer "F.Cu") (net 5) (tstamp 83d386a3-42f7-4dae-9b68-a978e3637792))
+  (segment (start 123.75 107) (end 124 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp 86fa03cf-7dc8-4df1-9f12-f37cfaaf1c8b))
+  (segment (start 122.775 94.025) (end 122.75 94) (width 0.5) (layer "F.Cu") (net 5) (tstamp 9162ad8c-371b-42e6-997b-e479828ae5e8))
+  (segment (start 117.5 94.75) (end 117.5 94.5) (width 0.5) (layer "F.Cu") (net 5) (tstamp 916b2303-d69e-430a-bd4a-4b11e7ab321f))
+  (segment (start 112.155 104.975) (end 112.155 106.595) (width 0.5) (layer "F.Cu") (net 5) (tstamp 93e9d6d9-ec2c-4d12-a76a-518439656226))
+  (segment (start 122.775 95) (end 122.775 94.025) (width 0.5) (layer "F.Cu") (net 5) (tstamp a75f4ab5-331b-4c51-85c7-150468041f38))
+  (segment (start 118.575 98) (end 118.575 97) (width 0.2) (layer "F.Cu") (net 5) (tstamp b01fc7bd-172e-42b7-98d1-ea4e57f7feeb))
+  (segment (start 117.5 94.475) (end 117.5 93.5) (width 0.5) (layer "F.Cu") (net 5) (tstamp b7931cc7-bf4e-4808-8434-b0848da9c0a1))
+  (segment (start 117.025 107) (end 117.75 107) (width 0.5) (layer "F.Cu") (net 5) (tstamp c8aa0594-6379-4ce7-a3aa-e0d6e91150bd))
+  (segment (start 111.75 107) (end 112 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp cadb513f-66ab-4e15-b1ae-83f6cafc9fda))
+  (segment (start 117.75 107) (end 118 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp d0e19f1e-03cd-4160-863c-5de6ae233595))
+  (segment (start 112.155 106.595) (end 112 106.75) (width 0.5) (layer "F.Cu") (net 5) (tstamp d81625fc-75be-433e-8688-de48b92756dc))
+  (segment (start 111.025 107) (end 111.75 107) (width 0.5) (layer "F.Cu") (net 5) (tstamp e4ca721a-ea11-4f9d-92a4-87b6f7b4738b))
+  (via (at 108.5 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 04c9442c-4f6c-4fa0-ae52-a707f20267f2))
+  (via (at 124.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 333469db-8535-481d-8759-cf870c4ce396))
+  (via (at 108.5 88.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 402be2ad-c11e-4c06-a362-6a5e842b39fa))
+  (via (at 118 95.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 4c32de59-2454-4925-bff6-49254c77bb0b))
+  (via (at 112 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 555b9510-55ae-499e-9ef7-f5aef036a2ee))
+  (via (at 124 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 6914805b-d67f-4893-8f72-dabd88286244))
+  (via (at 112.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 6d2b5e07-d461-4767-a0f5-2eb4d49a1980))
+  (via (at 118 106.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 802edb82-ccce-4e67-a19f-0de0eb1fd16f))
+  (via (at 107.75 87.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp a875fda7-1f64-4c78-9a11-83fc7a30c9ff))
+  (via (at 122.75 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp e5a59e53-1517-4975-b14a-f1fb3308d976))
+  (via (at 118.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp f099a730-9e9e-4986-b07d-e7abf232048a))
+  (segment (start 120.695 92.35) (end 121.095 92.75) (width 0.5) (layer "B.Cu") (net 5) (tstamp 591d57e5-9226-4029-a127-4b775c9c7af0))
+  (segment (start 122.75 94) (end 122.75 93.25) (width 0.5) (layer "B.Cu") (net 5) (tstamp d76939a9-2093-4eee-9def-ced16ad0fb1a))
+  (segment (start 121.095 92.75) (end 122.25 92.75) (width 0.5) (layer "B.Cu") (net 5) (tstamp ed934885-ce82-4823-b26d-b9869f526464))
+  (segment (start 122.75 93.25) (end 122.25 92.75) (width 0.5) (layer "B.Cu") (net 5) (tstamp f947a2e8-d8ed-46d3-bc12-145c307abccc))
+  (segment (start 110.1 103.1) (end 107.65 103.1) (width 0.2) (layer "F.Cu") (net 6) (tstamp 012a61dd-2457-4c88-a281-0cf311686cb2))
+  (segment (start 107.325 103.425) (end 106.25 103.425) (width 0.2) (layer "F.Cu") (net 6) (tstamp 3b48d3b8-d76b-4da2-bb18-5f96cbebafc4))
+  (segment (start 110.885 103.885) (end 110.1 103.1) (width 0.2) (layer "F.Cu") (net 6) (tstamp 950445cf-b35e-49be-a788-8fb74608fabc))
+  (segment (start 107.65 103.1) (end 107.325 103.425) (width 0.2) (layer "F.Cu") (net 6) (tstamp a023c3fd-4d99-4f92-8561-f70d6b680dc2))
+  (segment (start 104.7875 103.5) (end 106.25 103.5) (width 0.2) (layer "F.Cu") (net 6) (tstamp e96bcc96-9e7b-4cc8-afbd-490ce0f03cab))
+  (segment (start 110.885 104.975) (end 110.885 103.885) (width 0.2) (layer "F.Cu") (net 6) (tstamp f89a9d65-1829-41eb-9b54-d8ec763c63bc))
+  (segment (start 102.2 103.8) (end 102.5 103.5) (width 0.2) (layer "F.Cu") (net 7) (tstamp 0ac092b8-70eb-4f50-a4aa-3661f613e9f7))
+  (segment (start 101.29 103.8) (end 102.2 103.8) (width 0.2) (layer "F.Cu") (net 7) (tstamp 890e4a2d-297b-4269-8a35-c01e6dd2ed2d))
+  (segment (start 102.5 103.5) (end 103.2125 103.5) (width 0.2) (layer "F.Cu") (net 7) (tstamp af78c0d3-7857-457b-91ab-f5514c492b49))
+  (segment (start 99.95 105) (end 103 105) (width 0.2) (layer "F.Cu") (net 8) (tstamp b3c0172d-e4db-4af7-8468-84c68706b3d2))
+  (segment (start 98.75 103.8) (end 99.95 105) (width 0.2) (layer "F.Cu") (net 8) (tstamp e2a16ff5-6bad-4c46-8a7e-89a515b607c9))
+  (segment (start 107.825 103.5) (end 109.25 103.5) (width 0.2) (layer "F.Cu") (net 9) (tstamp 0d6e02b5-55d1-4b88-985b-7ddb28bea453))
+  (segment (start 106.25 105.075) (end 107.825 103.5) (width 0.2) (layer "F.Cu") (net 9) (tstamp 3c5c7bdb-dfbe-4277-8ca9-cdd8a223c7cd))
+  (segment (start 104.7875 105) (end 106.25 105) (width 0.2) (layer "F.Cu") (net 9) (tstamp 7d827d88-05f6-437a-a0ce-2984f9c16b42))
+  (segment (start 109.615 103.865) (end 109.615 104.975) (width 0.2) (layer "F.Cu") (net 9) (tstamp a4fc501e-375c-435d-8e0f-f7aed7b237fe))
+  (segment (start 109.25 103.5) (end 109.615 103.865) (width 0.2) (layer "F.Cu") (net 9) (tstamp b1bfa510-41b4-4a8e-812d-dfdf31ab57a6))
+  (segment (start 106.25 100.425) (end 106.25 100.5) (width 0.2) (layer "F.Cu") (net 10) (tstamp 063faaef-0556-425a-b247-60f780bd450f))
+  (segment (start 107.65 102.3) (end 115.3 102.3) (width 0.2) (layer "F.Cu") (net 10) (tstamp 0d31bb25-8449-4bf5-9fb7-fb3e9fc0c298))
+  (segment (start 116.885 103.885) (end 116.885 104.975) (width 0.2) (layer "F.Cu") (net 10) (tstamp 431d0684-401d-44e5-bced-d602e42f8538))
+  (segment (start 106.25 100.9) (end 107.65 102.3) (width 0.2) (layer "F.Cu") (net 10) (tstamp 503a3c50-063b-4bf0-a7ff-290cf0bbcec5))
+  (segment (start 106.25 100.5) (end 106.25 100.9) (width 0.2) (layer "F.Cu") (net 10) (tstamp 8621dfd7-6d87-4de4-89f9-9b7b62781780))
+  (segment (start 115.3 102.3) (end 116.885 103.885) (width 0.2) (layer "F.Cu") (net 10) (tstamp a0ceca9d-ac51-4a5d-8baa-863ea95dbb5e))
+  (segment (start 106.25 100.5) (end 104.7875 100.5) (width 0.2) (layer "F.Cu") (net 10) (tstamp e0342478-6c56-43e1-b1b3-896e9eca2209))
+  (segment (start 101.29 101.26) (end 102.05 100.5) (width 0.2) (layer "F.Cu") (net 11) (tstamp c9cf8d78-ab9f-494d-b2cb-46d4941fb622))
+  (segment (start 102.05 100.5) (end 103 100.5) (width 0.2) (layer "F.Cu") (net 11) (tstamp e3bf7aa4-1ae3-41e6-99d2-4f7e335457cd))
+  (segment (start 99.99 102.5) (end 98.75 101.26) (width 0.2) (layer "F.Cu") (net 12) (tstamp 2824b607-f457-4366-8935-d6bbeefae155))
+  (segment (start 102.5 102) (end 102 102.5) (width 0.2) (layer "F.Cu") (net 12) (tstamp 6d3d1064-38fc-4d2c-bdec-e08bcb8332f7))
+  (segment (start 103.2125 102) (end 102.5 102) (width 0.2) (layer "F.Cu") (net 12) (tstamp 8cbf2aca-2e22-4d15-8358-8b5aa7aef963))
+  (segment (start 102 102.5) (end 99.99 102.5) (width 0.2) (layer "F.Cu") (net 12) (tstamp eec4f954-3e97-408f-856f-d26c98d653ba))
+  (segment (start 104.7875 102) (end 106.25 102) (width 0.2) (layer "F.Cu") (net 13) (tstamp 7b1938c5-a90d-4e1c-bc96-fa93ef088869))
+  (segment (start 106.825 102.075) (end 107.45 102.7) (width 0.2) (layer "F.Cu") (net 13) (tstamp 8f8a1962-437b-430f-af58-7f235ae75ad1))
+  (segment (start 115.615 103.865) (end 115.615 104.975) (width 0.2) (layer "F.Cu") (net 13) (tstamp a098fda7-661c-4775-9fba-19568508c902))
+  (segment (start 114.45 102.7) (end 115.615 103.865) (width 0.2) (layer "F.Cu") (net 13) (tstamp e995658a-f1b3-4b2f-8a80-ebbba887562d))
+  (segment (start 107.45 102.7) (end 114.45 102.7) (width 0.2) (layer "F.Cu") (net 13) (tstamp facd2320-6c87-49c6-8510-840246793714))
+  (segment (start 106.25 102.075) (end 106.825 102.075) (width 0.2) (layer "F.Cu") (net 13) (tstamp ffa11594-4f2a-4fd6-9552-5bdb370dd962))
+  (segment (start 120.5 101.5) (end 122.885 103.885) (width 0.2) (layer "F.Cu") (net 14) (tstamp 2917c557-3af4-4998-b630-dc7766dd3f10))
+  (segment (start 107.45 100.95) (end 108 101.5) (width 0.2) (layer "F.Cu") (net 14) (tstamp 2fa85fdc-90fb-451c-9b46-61c58c94a8cc))
+  (segment (start 108 101.5) (end 120.5 101.5) (width 0.2) (layer "F.Cu") (net 14) (tstamp 30c4e456-b639-4865-b95b-498348b002fb))
+  (segment (start 122.885 103.885) (end 122.885 104.975) (width 0.2) (layer "F.Cu") (net 14) (tstamp 587b07b3-b7cc-4bc2-aa0e-f2576ad74167))
+  (segment (start 107.45 99.1) (end 107.45 100.95) (width 0.2) (layer "F.Cu") (net 14) (tstamp 90281619-f799-4238-8f7d-0c91d83e34da))
+  (segment (start 106.25 97.9) (end 107.45 99.1) (width 0.2) (layer "F.Cu") (net 14) (tstamp b5d3677f-8cfb-46c6-bd0b-3a3d31cb7ed6))
+  (segment (start 106.25 97.425) (end 106.25 97.9) (width 0.2) (layer "F.Cu") (net 14) (tstamp ce10b33a-417c-441b-b503-4a2f42795865))
+  (segment (start 104.7875 97.5) (end 106.25 97.5) (width 0.2) (layer "F.Cu") (net 14) (tstamp f073b2a9-c495-40b7-8b2e-2f8cb6e912bf))
+  (segment (start 101.29 98.72) (end 102.51 97.5) (width 0.2) (layer "F.Cu") (net 15) (tstamp 59d05c14-dd14-4cca-8d55-c6a947b99ee1))
+  (segment (start 102.51 97.5) (end 103.25 97.5) (width 0.2) (layer "F.Cu") (net 15) (tstamp f88433be-c717-4cf1-9642-7cd4203165ec))
+  (segment (start 100.03 100) (end 98.75 98.72) (width 0.2) (layer "F.Cu") (net 16) (tstamp 35b4491b-9073-4917-8c23-a61fc19cb050))
+  (segment (start 102.2125 100) (end 100.03 100) (width 0.2) (layer "F.Cu") (net 16) (tstamp 55570477-2e9f-4b1e-aa45-1025cf4375fd))
+  (segment (start 103.2125 99) (end 102.2125 100) (width 0.2) (layer "F.Cu") (net 16) (tstamp 640c4cdc-f806-4cf3-b2df-b98fac5f1092))
+  (segment (start 104.7875 99) (end 106.25 99) (width 0.2) (layer "F.Cu") (net 17) (tstamp 12e67958-6ad7-4c94-a625-fc2f43c8e614))
+  (segment (start 121.615 104.975) (end 121.615 103.865) (width 0.2) (layer "F.Cu") (net 17) (tstamp 190e98b8-a0cd-47ba-a0de-d179fcabda3d))
+  (segment (start 119.65 101.9) (end 107.85 101.9) (width 0.2) (layer "F.Cu") (net 17) (tstamp 23fc5b2d-9a1a-4408-b0b6-b8ebd858cc8a))
+  (segment (start 106.825 99.075) (end 106.25 99.075) (width 0.2) (layer "F.Cu") (net 17) (tstamp 67032527-cb29-41c2-a64d-e434dd5c6721))
+  (segment (start 107.05 101.1) (end 107.05 99.3) (width 0.2) (layer "F.Cu") (net 17) (tstamp 951295b5-daa9-4be7-9a67-44b9645a9dc0))
+  (segment (start 107.05 99.3) (end 106.825 99.075) (width 0.2) (layer "F.Cu") (net 17) (tstamp 9b116455-e13a-412a-8e19-d36fac9beb8e))
+  (segment (start 107.85 101.9) (end 107.05 101.1) (width 0.2) (layer "F.Cu") (net 17) (tstamp a87f75b5-33b6-456d-9e7c-aaf9b750cc2f))
+  (segment (start 121.615 103.865) (end 119.65 101.9) (width 0.2) (layer "F.Cu") (net 17) (tstamp f3670735-9772-4031-bcc0-5f08f0b7c691))
+  (segment (start 120.115 87.525) (end 120.115 85.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp 33d89fb2-1bba-49bd-93d4-e86021698960))
+  (segment (start 130.25 86.75) (end 129.25 85.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp 39a0f667-4a79-4e99-8766-d0135b446073))
+  (segment (start 124.25 85.75) (end 123.75 85.25) (width 0.2) (layer "F.Cu") (net 19) (tstamp 4b052f70-33b8-4ebb-a3f2-6b176c1f559a))
+  (segment (start 129.25 85.75) (end 124.25 85.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp 61b7a847-0790-46be-b92c-832f6c2ed161))
+  (segment (start 131 90.635) (end 130.25 89.885) (width 0.2) (layer "F.Cu") (net 19) (tstamp 64ebb798-3bb4-42f7-96a2-a54dcf372883))
+  (segment (start 132.475 90.635) (end 131 90.635) (width 0.2) (layer "F.Cu") (net 19) (tstamp d5a337f0-9a82-403a-bba4-632b67eb1a5f))
+  (segment (start 130.25 89.885) (end 130.25 86.75) (width 0.2) (layer "F.Cu") (net 19) (tstamp ed20e75d-3a59-49fd-85bb-9395064e5815))
+  (via (at 131 90.635) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 19) (tstamp 8469397f-5f2c-40dc-b603-d0ae69a26af5))
+  (via (at 123.75 85.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 19) (tstamp 9139fe32-4fc9-44ee-8866-ba55ed480613))
+  (via (at 120.115 85.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 19) (tstamp f4817791-03f1-4fa7-8606-a9cda1d812fb))
+  (segment (start 119.25 76) (end 118.155 77.095) (width 0.2) (layer "B.Cu") (net 19) (tstamp 201812b9-cade-42a6-8d70-64a1b6f99757))
+  (segment (start 118.155 77.095) (end 118.155 78.35) (width 0.2) (layer "B.Cu") (net 19) (tstamp 64ac7911-6d15-4f73-9011-23e6a62da95c))
+  (segment (start 133.5 79.75) (end 133.5 76.75) (width 0.2) (layer "B.Cu") (net 19) (tstamp 6c122084-f8d3-4aac-b73d-69cb8c764def))
+  (segment (start 131.75 90.635) (end 131 90.635) (width 0.2) (layer "B.Cu") (net 19) (tstamp 766a5cd1-319b-4b65-83c2-2c37cf93152a))
+  (segment (start 133.5 76.75) (end 132.75 76) (width 0.2) (layer "B.Cu") (net 19) (tstamp 7f71241a-7465-4e05-8a85-cf81a2f460da))
+  (segment (start 133.5 99.53) (end 133.5 94.75) (width 0.2) (layer "B.Cu") (net 19) (tstamp 865aa02b-5f10-483a-9391-f53bf720feab))
+  (segment (start 131.77 101.26) (end 133.5 99.53) (width 0.2) (layer "B.Cu") (net 19) (tstamp 8d857c89-a7a4-4c1b-81ba-3cf49a1c1b56))
+  (segment (start 132.75 76) (end 119.25 76) (width 0.2) (layer "B.Cu") (net 19) (tstamp 994daac4-6ac7-403a-badb-bd9af4f582d2))
+  (segment (start 120.615 85.25) (end 123.75 85.25) (width 0.2) (layer "B.Cu") (net 19) (tstamp 99a42f21-db72-4b41-a4a7-1524d58bbbf0))
+  (segment (start 131.75 81.5) (end 133.5 79.75) (width 0.2) (layer "B.Cu") (net 19) (tstamp 9c4ec7bc-9286-443a-b7a4-3a0292924663))
+  (segment (start 131.75 93) (end 131.75 81.5) (width 0.2) (layer "B.Cu") (net 19) (tstamp 9fd01104-fea9-4d2f-a21e-d8e9b2d97004))
+  (segment (start 120.115 85.75) (end 120.615 85.25) (width 0.2) (layer "B.Cu") (net 19) (tstamp af8ecd36-56aa-4fd3-946e-8bd8afa0dea3))
+  (segment (start 133.5 94.75) (end 131.75 93) (width 0.2) (layer "B.Cu") (net 19) (tstamp f3eb4560-d997-4c11-9d59-d93aacaaf2c7))
+  (segment (start 115.25 76) (end 114.325 76.925) (width 0.2) (layer "F.Cu") (net 20) (tstamp 4c4deac2-52eb-4408-9308-71fc4c7e29f8))
+  (segment (start 114.325 76.925) (end 114.325 77.85) (width 0.2) (layer "F.Cu") (net 20) (tstamp 9703dc60-8b7c-4e21-8233-c19a8e4fda26))
+  (segment (start 132.25 77.25) (end 132.25 76.75) (width 0.2) (layer "F.Cu") (net 20) (tstamp cf84a839-1b87-4509-8f06-c5460750b475))
+  (segment (start 131.5 76) (end 115.25 76) (width 0.2) (layer "F.Cu") (net 20) (tstamp e8fa3569-88fd-4b7b-8072-8a4cd697cef5))
+  (segment (start 132.25 76.75) (end 131.5 76) (width 0.2) (layer "F.Cu") (net 20) (tstamp ee27e33a-8f08-4c43-b475-67096da85767))
+  (segment (start 114.325 77.85) (end 113.675 77.85) (width 0.2) (layer "F.Cu") (net 20) (tstamp f6e3f476-b10f-443a-82d2-45bc0b7bb7fb))
+  (via (at 132.25 77.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 20) (tstamp 85aa9e64-d939-452c-86ba-6e59bf674259))
+  (segment (start 129 81.25) (end 132.25 78) (width 0.2) (layer "B.Cu") (net 20) (tstamp 0cc055bc-e9a0-4945-857a-0423afd801d9))
+  (segment (start 129 87) (end 129 81.25) (width 0.2) (layer "B.Cu") (net 20) (tstamp 195f0d96-8893-452a-b22c-864bdf8e3439))
+  (segment (start 128.25 93) (end 128.25 87.75) (width 0.2) (layer "B.Cu") (net 20) (tstamp 1b019539-0ae3-4fab-a9ba-035aeb86adf2))
+  (segment (start 132.25 78) (end 132.25 77.25) (width 0.2) (layer "B.Cu") (net 20) (tstamp 35499005-0b13-446a-ae74-562cd482e7ef))
+  (segment (start 128.25 87.75) (end 129 87) (width 0.2) (layer "B.Cu") (net 20) (tstamp 7e427ad2-8e1c-4a36-9725-93702fd219b5))
+  (segment (start 129.23 101.26) (end 130.5 99.99) (width 0.2) (layer "B.Cu") (net 20) (tstamp 85227c57-5625-4bf5-882b-a8ba0b7b7d50))
+  (segment (start 130.5 95.25) (end 128.25 93) (width 0.2) (layer "B.Cu") (net 20) (tstamp b60e101d-c953-4247-937d-5c656fb3cdbc))
+  (segment (start 130.5 99.99) (end 130.5 95.25) (width 0.2) (layer "B.Cu") (net 20) (tstamp fb71755f-a96c-46d1-99a5-732bd7259f21))
+  (segment (start 132.475 91.905) (end 131 91.905) (width 0.2) (layer "F.Cu") (net 21) (tstamp 0081f011-f29f-42d9-862e-ddaaa013bbcc))
+  (segment (start 131 91.905) (end 129.75 90.655) (width 0.2) (layer "F.Cu") (net 21) (tstamp 0a54da70-4e99-4969-9146-24fb40ad6fe5))
+  (segment (start 129.75 90.655) (end 129.75 87) (width 0.2) (layer "F.Cu") (net 21) (tstamp 3478c48e-7a83-4428-a31c-7dc07b8af182))
+  (segment (start 121.385 87.525) (end 121.385 86) (width 0.2) (layer "F.Cu") (net 21) (tstamp 83e37caf-830f-48dd-b8e1-0e98e095ea4c))
+  (segment (start 129 86.25) (end 124.25 86.25) (width 0.2) (layer "F.Cu") (net 21) (tstamp 91a9e9da-0aa9-4fe8-9f00-33c174c462d8))
+  (segment (start 129.75 87) (end 129 86.25) (width 0.2) (layer "F.Cu") (net 21) (tstamp be9ab5fa-103a-4dbe-9fcf-fa7aa5a8b34b))
+  (segment (start 124.25 86.25) (end 123.75 86.75) (width 0.2) (layer "F.Cu") (net 21) (tstamp e50e88ab-3d95-4069-9cf8-9446b753c912))
+  (via (at 131 91.905) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 21) (tstamp 41c0d101-3284-46d2-8fe5-c25cb343a3fe))
+  (via (at 121.385 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 21) (tstamp 7429922b-5c79-4449-bd3b-7c7f42578f08))
+  (via (at 123.75 86.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 21) (tstamp d93c722b-7d59-4370-bfa3-af1c563ac81e))
+  (segment (start 128.25 76.5) (end 127.25 77.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 04cfb4e3-e9ae-41a4-aa39-2823cd5510c3))
+  (segment (start 130.25 87.75) (end 131.25 86.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp 0a32a034-c670-4f5a-8425-2f2889346703))
+  (segment (start 123.5 80) (end 123 80.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 283e34c4-25ad-49e0-a5f0-7f549e581f33))
+  (segment (start 132.5 76.5) (end 128.25 76.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 2c2bbd91-f16f-40c9-b838-6ef9ab0c8c68))
+  (segment (start 119.425 79.425) (end 119.425 78.35) (width 0.2) (layer "B.Cu") (net 21) (tstamp 39e37c9a-f1d7-4d13-b033-81fdd7ee239a))
+  (segment (start 133 77) (end 132.5 76.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 3fbcbae2-9f81-40c9-873d-e2353f5bbc43))
+  (segment (start 133 95) (end 130.25 92.25) (width 0.2) (layer "B.Cu") (net 21) (tstamp 4af1b9b2-b59f-4b8a-a8bd-d6b4ded30cca))
+  (segment (start 133 97.49) (end 133 95) (width 0.2) (layer "B.Cu") (net 21) (tstamp 55631cba-7072-434c-a18f-ce0638ed52f3))
+  (segment (start 131.25 86.75) (end 131.25 81.25) (width 0.2) (layer "B.Cu") (net 21) (tstamp 7137c550-2eb2-47df-bc4f-dfbd43054dda))
+  (segment (start 130.25 92.25) (end 130.25 87.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp 715504ff-6d68-405e-a877-20aec948a1d0))
+  (segment (start 131.25 81.25) (end 133 79.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 78528540-e728-43f6-95a4-e40ffc5e6fa1))
+  (segment (start 133 79.5) (end 133 77) (width 0.2) (layer "B.Cu") (net 21) (tstamp 85d4da8b-3c38-4199-bd86-91fc105b7c2b))
+  (segment (start 123 80.5) (end 120.5 80.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp 8e1a0c96-ab19-41b0-ab21-e5f3484e1343))
+  (segment (start 121.385 86) (end 122.135 86.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp b289c400-6b20-489d-b45f-3292fa847f1f))
+  (segment (start 123.5 78) (end 123.5 80) (width 0.2) (layer "B.Cu") (net 21) (tstamp c8f7ae78-bc7a-42b6-afee-9a8f0a1dc713))
+  (segment (start 120.5 80.5) (end 119.425 79.425) (width 0.2) (layer "B.Cu") (net 21) (tstamp dffea3ee-e5d1-47cf-88af-77f27151c0ba))
+  (segment (start 131 91.905) (end 130.25 91.905) (width 0.2) (layer "B.Cu") (net 21) (tstamp e3bd3e66-6e63-4ee7-b78c-b8629c936a25))
+  (segment (start 131.77 98.72) (end 133 97.49) (width 0.2) (layer "B.Cu") (net 21) (tstamp e605c21f-3ab4-49e2-8adf-f3c60a442f3b))
+  (segment (start 123.75 86.75) (end 122.135 86.75) (width 0.2) (layer "B.Cu") (net 21) (tstamp e9503444-590c-493a-b594-a31b37855745))
+  (segment (start 127.25 77.5) (end 124 77.5) (width 0.2) (layer "B.Cu") (net 21) (tstamp faf9915e-8bdb-4c01-a880-d6a92bc12b50))
+  (segment (start 124 77.5) (end 123.5 78) (width 0.2) (layer "B.Cu") (net 21) (tstamp fe192280-70f0-4742-b5d6-1eaa75580c30))
+  (segment (start 121.25 76.5) (end 120.675 77.075) (width 0.2) (layer "F.Cu") (net 22) (tstamp 10e92259-f785-42a4-868a-f61711c7b3e2))
+  (segment (start 126.5 77.5) (end 125.5 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 12e79f3d-f40b-4e71-8b38-569e625cba08))
+  (segment (start 127.675 78.825) (end 127.5 79) (width 0.2) (layer "F.Cu") (net 22) (tstamp 23b55e0b-e30a-48cd-95ad-e0c7f90ecf90))
+  (segment (start 125.5 76.5) (end 121.25 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 2463722b-b4ca-4deb-b048-fdfc02a34428))
+  (segment (start 127.675 77.075) (end 127.675 77.85) (width 0.2) (layer "F.Cu") (net 22) (tstamp 40f38786-c610-4b71-929c-ec70f9b4f067))
+  (segment (start 128.25 76.5) (end 127.675 77.075) (width 0.2) (layer "F.Cu") (net 22) (tstamp 42f9657c-260f-4b88-910a-3680f5ff1a22))
+  (segment (start 126.5 78.75) (end 126.5 77.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 62b21752-2275-4d54-b063-abcd82ea2477))
+  (segment (start 131.5 77) (end 131 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp 7cc5086b-9d88-4212-bb3c-407be39d5bdd))
+  (segment (start 126.75 79) (end 126.5 78.75) (width 0.2) (layer "F.Cu") (net 22) (tstamp 7d27706f-9a9f-4067-93ee-0f773a5c383d))
+  (segment (start 127.5 79) (end 126.75 79) (width 0.2) (layer "F.Cu") (net 22) (tstamp b0322b6c-9d49-480c-96d7-f94c50c428ce))
+  (segment (start 131.5 77.5) (end 131.5 77) (width 0.2) (layer "F.Cu") (net 22) (tstamp c96b00ac-2cad-404b-8ed4-2148d9ba6538))
+  (segment (start 127.675 77.85) (end 127.675 78.825) (width 0.2) (layer "F.Cu") (net 22) (tstamp cf98d897-95f9-4037-8230-af38c4dc6f79))
+  (segment (start 120.675 77.075) (end 120.675 77.85) (width 0.2) (layer "F.Cu") (net 22) (tstamp ebeccc79-6bf2-4467-b041-f778fdc73926))
+  (segment (start 131 76.5) (end 128.25 76.5) (width 0.2) (layer "F.Cu") (net 22) (tstamp fbff1c02-9ba4-4ca7-8160-3961545c17cc))
+  (via (at 131.5 77.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 22) (tstamp 56799c95-a5db-4a9f-bab3-2f260393cb07))
+  (segment (start 128.5 81) (end 128.5 86.75) (width 0.2) (layer "B.Cu") (net 22) (tstamp 14b4a9c3-b1bf-46bd-8618-8f67a3e8b1b3))
+  (segment (start 127.75 87.5) (end 127.75 93.25) (width 0.2) (layer "B.Cu") (net 22) (tstamp 50cbf075-8c6a-477c-bd9a-2233364a89b3))
+  (segment (start 127.75 93.25) (end 129.23 94.73) (width 0.2) (layer "B.Cu") (net 22) (tstamp 6e3a8bea-5105-48fe-a16a-fd57d05b285f))
+  (segment (start 131.5 77.5) (end 131.5 78) (width 0.2) (layer "B.Cu") (net 22) (tstamp 9ac45116-1967-4866-bc0a-c16389088ec3))
+  (segment (start 128.5 86.75) (end 127.75 87.5) (width 0.2) (layer "B.Cu") (net 22) (tstamp b56bb86b-3d3b-4583-8056-ec53bec4f80a))
+  (segment (start 131.5 78) (end 128.5 81) (width 0.2) (layer "B.Cu") (net 22) (tstamp de60ddde-c28b-43ae-8f04-06aa14260eac))
+  (segment (start 129.23 94.73) (end 129.23 96.18) (width 0.2) (layer "B.Cu") (net 22) (tstamp fb0a573c-4a19-4db1-a4d4-29c5b8eb2198))
+  (segment (start 129.5 77) (end 129.75 77.25) (width 0.2) (layer "F.Cu") (net 23) (tstamp 041cb687-9104-4a62-8977-618609e4838c))
+  (segment (start 126.5 79.5) (end 126 79) (width 0.2) (layer "F.Cu") (net 23) (tstamp 0f378833-8fa7-4e26-935c-d49d4ec1ec7a))
+  (segment (start 126 79) (end 126 77.75) (width 0.2) (layer "F.Cu") (net 23) (tstamp 222c8f90-9b58-48b9-b672-3683ba18674c))
+  (segment (start 128.325 77.175) (end 128.5 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp 3b2bd5bb-b3aa-475f-9b42-c80bc3efd750))
+  (segment (start 130.75 77.25) (end 129.75 77.25) (width 0.2) (layer "F.Cu") (net 23) (tstamp 5819ddbc-c475-4f0e-a5e2-fa67c8f8eb14))
+  (segment (start 125.25 77) (end 121.5 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp 809a2f5f-4590-41af-87d3-afebcef6972c))
+  (segment (start 121.325 77.175) (end 121.325 77.85) (width 0.2) (layer "F.Cu") (net 23) (tstamp 80d0ab20-335a-4bf9-b1b5-a81a8a391ec5))
+  (segment (start 127.75 79.5) (end 126.5 79.5) (width 0.2) (layer "F.Cu") (net 23) (tstamp 8483e979-8984-4ec3-a346-0eb8a3eb8f89))
+  (segment (start 121.5 77) (end 121.325 77.175) (width 0.2) (layer "F.Cu") (net 23) (tstamp 86632950-f7df-4bcf-bc34-605ac6eb8f68))
+  (segment (start 128.325 78.925) (end 127.75 79.5) (width 0.2) (layer "F.Cu") (net 23) (tstamp 89dbf6b7-670c-41e9-802d-2df0e0779085))
+  (segment (start 128.325 77.85) (end 128.325 78.925) (width 0.2) (layer "F.Cu") (net 23) (tstamp 9848235a-80d4-42ac-a7f4-fab35d957639))
+  (segment (start 128.325 77.85) (end 128.325 77.175) (width 0.2) (layer "F.Cu") (net 23) (tstamp b33d1cbe-d1fd-4be8-9e03-812553322375))
+  (segment (start 128.5 77) (end 129.5 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp c2490899-70df-4cc2-8360-ebd40abe7df4))
+  (segment (start 126 77.75) (end 125.25 77) (width 0.2) (layer "F.Cu") (net 23) (tstamp dfe47024-019d-418b-b532-36168a081a59))
+  (via (at 130.75 77.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 23) (tstamp 9a4e894f-9697-4446-b8c1-965adbbc0565))
+  (segment (start 130.75 78) (end 128 80.75) (width 0.2) (layer "B.Cu") (net 23) (tstamp 0e46cb7e-c16d-4752-ad6e-4f2224529c39))
+  (segment (start 127.75 94) (end 127.75 97.24) (width 0.2) (layer "B.Cu") (net 23) (tstamp 3c4bc281-df33-441e-acd3-1e9e1293693c))
+  (segment (start 130.75 77.25) (end 130.75 78) (width 0.2) (layer "B.Cu") (net 23) (tstamp 4f1fe47d-4c6f-4775-82f8-4374b7040b4f))
+  (segment (start 127.25 87.25) (end 127.25 93.5) (width 0.2) (layer "B.Cu") (net 23) (tstamp 5fe7e70f-0c65-4ecd-a65d-a6aff956a70d))
+  (segment (start 128 80.75) (end 128 86.5) (width 0.2) (layer "B.Cu") (net 23) (tstamp 9d2bb65a-dfbd-4111-a64e-30d1f5108524))
+  (segment (start 127.25 93.5) (end 127.75 94) (width 0.2) (layer "B.Cu") (net 23) (tstamp d8aa7a51-a9aa-466f-b374-36a03e8735c9))
+  (segment (start 127.75 97.24) (end 129.23 98.72) (width 0.2) (layer "B.Cu") (net 23) (tstamp ef0bc80d-40b9-4a0f-ba6a-6259da7a55ce))
+  (segment (start 128 86.5) (end 127.25 87.25) (width 0.2) (layer "B.Cu") (net 23) (tstamp f8a9ab5f-ad2d-4b39-934c-9623685803eb))
+  (segment (start 114.975 77.85) (end 114.975 79.025) (width 0.2) (layer "F.Cu") (net 24) (tstamp 189f5259-7f2b-4d46-bb45-c41b29911741))
+  (segment (start 114.975 79.025) (end 114 80) (width 0.2) (layer "F.Cu") (net 24) (tstamp 3f20435e-0ccc-49a1-a325-cb05617ec33a))
+  (segment (start 113.075 80) (end 114 80) (width 0.2) (layer "F.Cu") (net 24) (tstamp fb649b8b-4fb3-4edb-8031-132b1c438ea1))
+  (segment (start 116.75 78.425) (end 116.175 77.85) (width 0.2) (layer "F.Cu") (net 24) (tstamp fd9e68ee-1b78-4d52-a985-b4a09c07bbd3))
+  (segment (start 116.175 77.85) (end 114.975 77.85) (width 0.2) (layer "F.Cu") (net 24) (tstamp fda8be11-dbfa-4d7d-b9ad-6a730efde4c3))
+  (via (at 113.075 80) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 24) (tstamp b62e704f-d2a7-43c2-8aa7-8f4491506dd9))
+  (segment (start 113.075 78.35) (end 113.075 80) (width 0.2) (layer "B.Cu") (net 24) (tstamp 0cfeee33-373e-4421-8a84-e7da846e071a))
+  (segment (start 126.6 82.15) (end 127.025 82.15) (width 0.2) (layer "F.Cu") (net 25) (tstamp 1d14a6c2-b17a-4723-a629-93ee55f2010a))
+  (segment (start 123 82.5) (end 126.25 82.5) (width 0.2) (layer "F.Cu") (net 25) (tstamp 5f1d94f0-be32-46d8-b445-cb739b2a5f1f))
+  (segment (start 114.6825 87.4) (end 114.6825 86) (width 0.2) (layer "F.Cu") (net 25) (tstamp b0cf4ccc-250b-48f4-af97-30139b20ae69))
+  (segment (start 126.25 82.5) (end 126.6 82.15) (width 0.2) (layer "F.Cu") (net 25) (tstamp d84865c1-d109-439a-b09b-b8654f2aae93))
+  (via (at 123 82.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 25) (tstamp 14e7fab3-1502-4e36-a12a-88ed4415cc4f))
+  (via (at 114.6825 86) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 25) (tstamp 5becdbaf-5cc9-4887-ba90-ea84e041d1d8))
+  (segment (start 115.5 86.25) (end 114.9325 86.25) (width 0.2) (layer "B.Cu") (net 25) (tstamp 18ea0753-a298-433c-9cb3-49905a1bc571))
+  (segment (start 120 83) (end 117.25 83) (width 0.2) (layer "B.Cu") (net 25) (tstamp 31970fc6-072b-4cd8-8df1-955ee43e67db))
+  (segment (start 120.5 82.5) (end 120 83) (width 0.2) (layer "B.Cu") (net 25) (tstamp 9111de1b-a03e-4666-8553-e7c68d24432e))
+  (segment (start 114.9325 86.25) (end 114.6825 86) (width 0.2) (layer "B.Cu") (net 25) (tstamp 9c9215c6-6274-41f6-bcc9-d35337c1edf7))
+  (segment (start 117.25 83) (end 116 84.25) (width 0.2) (layer "B.Cu") (net 25) (tstamp ac6b3067-0df3-42bf-8397-9d5d2f0bda69))
+  (segment (start 116 84.25) (end 116 85.75) (width 0.2) (layer "B.Cu") (net 25) (tstamp b2403cc2-33fa-4777-9284-3895eb3df920))
+  (segment (start 116 85.75) (end 115.5 86.25) (width 0.2) (layer "B.Cu") (net 25) (tstamp b73f8162-86b5-4d0c-bf63-5c46e52ee8d2))
+  (segment (start 123 82.5) (end 120.5 82.5) (width 0.2) (layer "B.Cu") (net 25) (tstamp d9d16c22-f74f-4043-add1-8f4adb3eec03))
+  (segment (start 117.25 84) (end 116.5875 84.6625) (width 0.2) (layer "F.Cu") (net 26) (tstamp 2f1153e6-a1cd-45fc-b74a-5ef11a4b7453))
+  (segment (start 123.625 83) (end 123.375 83.25) (width 0.2) (layer "F.Cu") (net 26) (tstamp 5b166695-e589-4daf-b20e-7db2d61fb405))
+  (segment (start 127.675 82.825) (end 127.5 83) (width 0.2) (layer "F.Cu") (net 26) (tstamp 63f38904-b9c6-43a6-848c-5ba6691d11c0))
+  (segment (start 127.5 83) (end 123.625 83) (width 0.2) (layer "F.Cu") (net 26) (tstamp 679d3900-68ac-4042-aa72-7b04613baf23))
+  (segment (start 120.25 84) (end 117.25 84) (width 0.2) (layer "F.Cu") (net 26) (tstamp 729c8f6e-a63c-4790-9529-f8d107f4bd8a))
+  (segment (start 123.375 83.25) (end 121 83.25) (width 0.2) (layer "F.Cu") (net 26) (tstamp 8f85616e-69ce-4fc8-97d6-eb7d0350c663))
+  (segment (start 127.675 82.15) (end 127.675 82.825) (width 0.2) (layer "F.Cu") (net 26) (tstamp 9db542ef-a4d0-486c-b6e4-dca7d5f29daa))
+  (segment (start 121 83.25) (end 120.25 84) (width 0.2) (layer "F.Cu") (net 26) (tstamp a8ede47f-4fda-4f9e-b37b-522c2c7b20eb))
+  (segment (start 116.5875 84.6625) (end 116.5875 87.4) (width 0.2) (layer "F.Cu") (net 26) (tstamp b2802bb9-b6dc-49cb-a225-25e07cafdeef))
+  (segment (start 118.25 78.425) (end 117.425 79.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 269b6dda-fbc5-4536-bebe-d0311da07788))
+  (segment (start 117.425 79.25) (end 116.25 79.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 87ea1067-52a3-4c75-a757-000eb9a8d066))
+  (segment (start 114.325 81.175) (end 116.25 79.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 93315ed7-dfb5-496e-b977-5ebaf556500a))
+  (segment (start 114.325 81.175) (end 112.925 81.175) (width 0.2) (layer "F.Cu") (net 27) (tstamp b4e0bf9d-96e2-4459-a42e-296264656fe5))
+  (segment (start 112.925 81.175) (end 112.5 80.75) (width 0.2) (layer "F.Cu") (net 27) (tstamp daf35647-8ed8-44f9-95d3-9f523a32dd28))
+  (segment (start 114.325 82.15) (end 114.325 81.175) (width 0.2) (layer "F.Cu") (net 27) (tstamp e262641a-8ac7-43af-ad92-6491b466dfa8))
+  (via (at 112.5 80.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 27) (tstamp 475c29b0-e0bb-4ee2-b576-7c13a8f14342))
+  (segment (start 111.805 80.055) (end 112.5 80.75) (width 0.2) (layer "B.Cu") (net 27) (tstamp 2e0e3163-83f2-401f-b768-f1cc298fc8d7))
+  (segment (start 111.805 78.35) (end 111.805 80.055) (width 0.2) (layer "B.Cu") (net 27) (tstamp efac06d6-dff4-4c10-8795-5aaa78f25d2c))
+  (segment (start 119.5 80.5) (end 119.25 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp 02e05440-e625-4ef4-9f80-0391bc52b142))
+  (segment (start 123.575 78.425) (end 121.5 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp 1c2bd57e-d0b8-49a4-bc32-d401ea11298d))
+  (segment (start 123.175 77.85) (end 121.975 77.85) (width 0.2) (layer "F.Cu") (net 28) (tstamp 250798be-2128-4e1f-996f-5a8a9c4e08e1))
+  (segment (start 120.25 80.25) (end 119.75 80.25) (width 0.2) (layer "F.Cu") (net 28) (tstamp 29257c84-2349-4f76-bc51-6305f789b1a3))
+  (segment (start 123.75 78.425) (end 123.575 78.425) (width 0.2) (layer "F.Cu") (net 28) (tstamp 342051ac-adc6-4edc-80f3-b0118cbcf1e3))
+  (segment (start 120.5 80.5) (end 120.25 80.25) (width 0.2) (layer "F.Cu") (net 28) (tstamp 41c0f62b-7e0c-4913-8b83-ee11f8107351))
+  (segment (start 123.75 78.425) (end 123.175 77.85) (width 0.2) (layer "F.Cu") (net 28) (tstamp c185b668-1324-464e-9d44-5f15d382c503))
+  (segment (start 119.75 80.25) (end 119.5 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp c4e9e288-96e1-42a7-995b-c1a43e720469))
+  (segment (start 121.5 80.5) (end 120.5 80.5) (width 0.2) (layer "F.Cu") (net 28) (tstamp f33fc6dc-3372-4631-90ef-bf40fc95846c))
+  (via (at 119.25 80.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 28) (tstamp 64e5c94d-8e0a-4288-96b8-2c7e54a969e4))
+  (segment (start 116.5 80.5) (end 119.25 80.5) (width 0.2) (layer "B.Cu") (net 28) (tstamp bea464dc-13f3-44c3-9f50-451b9a877050))
+  (segment (start 115.615 79.615) (end 116.5 80.5) (width 0.2) (layer "B.Cu") (net 28) (tstamp c0f99b04-cf9b-4596-ad09-a9a7d23fd2d5))
+  (segment (start 115.615 78.35) (end 115.615 79.615) (width 0.2) (layer "B.Cu") (net 28) (tstamp de1261cd-307f-4d30-8f67-c564f510eff5))
+  (segment (start 120.025 82.725) (end 119.75 83) (width 0.2) (layer "F.Cu") (net 29) (tstamp 022fd72e-8726-48d2-bcf6-3ffc50398231))
+  (segment (start 120.025 82.15) (end 120.025 82.725) (width 0.2) (layer "F.Cu") (net 29) (tstamp 103ef3ab-c17a-4301-ae2d-92485b5865ec))
+  (segment (start 116.75 83) (end 114.0475 85.7025) (width 0.2) (layer "F.Cu") (net 29) (tstamp 51215e6a-6c5c-48ed-a0f4-0acb51d4b2b3))
+  (segment (start 114.0475 85.7025) (end 114.0475 87.4) (width 0.2) (layer "F.Cu") (net 29) (tstamp 9f7fc860-a258-4f44-82a6-36604c174059))
+  (segment (start 119.75 83) (end 116.75 83) (width 0.2) (layer "F.Cu") (net 29) (tstamp f38c8a80-8eaa-4d71-8472-a407e55f8837))
+  (segment (start 117 83.5) (end 115.9525 84.5475) (width 0.2) (layer "F.Cu") (net 30) (tstamp 140776ff-291a-4baf-99dc-41fb44b1544f))
+  (segment (start 120 83.5) (end 117 83.5) (width 0.2) (layer "F.Cu") (net 30) (tstamp 87b48edc-90a4-4864-9bc3-e989a2c6f751))
+  (segment (start 120.675 82.825) (end 120 83.5) (width 0.2) (layer "F.Cu") (net 30) (tstamp 9a6ce2d3-c61c-4b9c-9047-d810388b9883))
+  (segment (start 115.9525 84.5475) (end 115.9525 87.4) (width 0.2) (layer "F.Cu") (net 30) (tstamp c2ddafbd-1aca-496e-a380-32c179e19b84))
+  (segment (start 120.675 82.15) (end 120.675 82.825) (width 0.2) (layer "F.Cu") (net 30) (tstamp fa0d7972-8352-4f80-b235-b4a183279975))
+  (segment (start 121.325 81.175) (end 123.25 79.25) (width 0.2) (layer "F.Cu") (net 31) (tstamp 1413beea-43fe-4f4d-b8ac-0a6ff4f44067))
+  (segment (start 120 81) (end 121.15 81) (width 0.2) (layer "F.Cu") (net 31) (tstamp 1d3999f0-9600-4cb7-ae6b-048e37c5c4d5))
+  (segment (start 121.325 82.15) (end 121.325 81.175) (width 0.2) (layer "F.Cu") (net 31) (tstamp 1e324220-26be-4d01-b807-046234a73a05))
+  (segment (start 124.425 79.25) (end 123.25 79.25) (width 0.2) (layer "F.Cu") (net 31) (tstamp 523a01b8-cb97-486f-80fc-b3ab2503d782))
+  (segment (start 121.15 81) (end 121.325 81.175) (width 0.2) (layer "F.Cu") (net 31) (tstamp 9f39ebc9-8d3d-49b2-b46b-c4fe63ce3923))
+  (segment (start 125.25 78.425) (end 124.425 79.25) (width 0.2) (layer "F.Cu") (net 31) (tstamp f95dc19d-a972-4c09-b3cd-e16fd21b94b8))
+  (via (at 120 81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 31) (tstamp a09a1bfd-e6de-4ade-bd56-ce2d119b60f5))
+  (segment (start 114.345 78.35) (end 114.345 79.095) (width 0.2) (layer "B.Cu") (net 31) (tstamp 3c0ea4c2-5371-422c-b050-dc34b38b5bd8))
+  (segment (start 119.5 82.25) (end 120 81.75) (width 0.2) (layer "B.Cu") (net 31) (tstamp 4c22d99e-7cb0-4f32-8e6b-da2b799f1224))
+  (segment (start 114.345 79.095) (end 117.5 82.25) (width 0.2) (layer "B.Cu") (net 31) (tstamp 692b7d32-9208-41c5-878c-029437a04841))
+  (segment (start 120 81.75) (end 120 81) (width 0.2) (layer "B.Cu") (net 31) (tstamp 6cb273da-7fa2-4c0c-83b6-b6af20c5c5a1))
+  (segment (start 117.5 82.25) (end 119.5 82.25) (width 0.2) (layer "B.Cu") (net 31) (tstamp 6dea88d0-52c0-4c43-afca-bd2f9d946348))
+  (segment (start 130.175 77.85) (end 128.975 77.85) (width 0.2) (layer "F.Cu") (net 32) (tstamp 499d9ca8-5594-43e9-81f8-ee4dc61bf23a))
+  (segment (start 128.975 79.025) (end 127 81) (width 0.2) (layer "F.Cu") (net 32) (tstamp 53ff7af1-c7cc-472a-9a28-43c992951174))
+  (segment (start 128.975 77.85) (end 128.975 79.025) (width 0.2) (layer "F.Cu") (net 32) (tstamp 54e82af2-450e-4c9b-afd2-83c3c6924794))
+  (segment (start 130.75 78.425) (end 130.175 77.85) (width 0.2) (layer "F.Cu") (net 32) (tstamp 9b715309-163c-453b-bf9f-9e762456136d))
+  (via (at 127 81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 32) (tstamp 50d9ef26-47e7-4f23-b418-af360bce537c))
+  (segment (start 116.5 86) (end 116.5 84.5) (width 0.2) (layer "B.Cu") (net 32) (tstamp 0a643923-5672-44ff-b02c-98842c8f65cb))
+  (segment (start 115.615 86.885) (end 116.5 86) (width 0.2) (layer "B.Cu") (net 32) (tstamp 2566a5fb-57b8-437c-837e-39b2504586d3))
+  (segment (start 120.25 83.5) (end 120.75 83) (width 0.2) (layer "B.Cu") (net 32) (tstamp 2831c03b-40c7-48c3-b42b-94175bcb7d0b))
+  (segment (start 115.615 87.65) (end 115.615 86.885) (width 0.2) (layer "B.Cu") (net 32) (tstamp 378d6d74-2a4a-4312-81c7-95437841382d))
+  (segment (start 116.5 84.5) (end 117.5 83.5) (width 0.2) (layer "B.Cu") (net 32) (tstamp 3a75a4c1-3da0-4bdf-bca8-b301f279f265))
+  (segment (start 122.5 83) (end 122.75 83.25) (width 0.2) (layer "B.Cu") (net 32) (tstamp aa682372-d925-42b0-9c80-1698db9d718f))
+  (segment (start 126 83.25) (end 127 82.25) (width 0.2) (layer "B.Cu") (net 32) (tstamp bce281c7-e4a1-41a2-a539-409eff64c68c))
+  (segment (start 127 82.25) (end 127 81) (width 0.2) (layer "B.Cu") (net 32) (tstamp c9b6d12d-c504-49c3-bca8-08817180ef5a))
+  (segment (start 122.75 83.25) (end 126 83.25) (width 0.2) (layer "B.Cu") (net 32) (tstamp d45e602c-0831-4f76-ab05-b197843e0694))
+  (segment (start 117.5 83.5) (end 120.25 83.5) (width 0.2) (layer "B.Cu") (net 32) (tstamp dd3d0fb8-a04b-47c8-9f72-55960f989e38))
+  (segment (start 120.75 83) (end 122.5 83) (width 0.2) (layer "B.Cu") (net 32) (tstamp dec6b3b4-8c58-4970-a8c7-8bb8e8bc9304))
+  (segment (start 113.4125 85.6625) (end 113.025 85.275) (width 0.2) (layer "F.Cu") (net 33) (tstamp 280fc7bf-c338-4ab3-82e1-805b3ff2c079))
+  (segment (start 113.025 85.275) (end 113.025 82.15) (width 0.2) (layer "F.Cu") (net 33) (tstamp 6fad67fb-ca20-44fb-831c-fe7ec154f89a))
+  (segment (start 113.4125 87.4) (end 113.4125 85.6625) (width 0.2) (layer "F.Cu") (net 33) (tstamp dfca75d6-0d49-4eab-b57f-b8769338df82))
+  (segment (start 115.3175 87.4) (end 115.3175 85.5) (width 0.2) (layer "F.Cu") (net 34) (tstamp 97980126-7fb9-426c-aad7-62f9dfd090b6))
+  (segment (start 113.675 82.15) (end 113.675 85) (width 0.2) (layer "F.Cu") (net 34) (tstamp dba5d362-f94f-4370-95c0-5f63284557b3))
+  (via (at 113.675 85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 34) (tstamp 03479893-8354-4e61-95a5-da421cf8c065))
+  (via (at 115.3175 85.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 34) (tstamp 859e9e31-f4fc-44bc-a044-5af4790b342c))
+  (segment (start 114.8175 85) (end 113.675 85) (width 0.2) (layer "B.Cu") (net 34) (tstamp 29fc6ed5-e6af-44ae-95fb-c236a78c90a4))
+  (segment (start 115.3175 85.5) (end 114.8175 85) (width 0.2) (layer "B.Cu") (net 34) (tstamp 50f3177a-a54a-4882-a107-2bc9d795d984))
+  (segment (start 128.325 82.925) (end 127.5 83.75) (width 0.2) (layer "F.Cu") (net 35) (tstamp 27fc5366-6343-412c-9e9a-1015fa744b62))
+  (segment (start 127.5 83.75) (end 127 83.75) (width 0.2) (layer "F.Cu") (net 35) (tstamp 3d7cd682-c54d-4909-99fe-f38b6355fa73))
+  (segment (start 128.325 82.15) (end 128.325 81.175) (width 0.2) (layer "F.Cu") (net 35) (tstamp 40b78b56-c70f-4d69-8e6f-27c52233ae05))
+  (segment (start 128.325 81.175) (end 130.25 79.25) (width 0.2) (layer "F.Cu") (net 35) (tstamp 472e79b0-cc13-44e0-9afd-88894cf986a0))
+  (segment (start 132.25 78.425) (end 131.425 79.25) (width 0.2) (layer "F.Cu") (net 35) (tstamp 49e4e7c4-c4c6-425c-9d57-327a1e56d3be))
+  (segment (start 131.425 79.25) (end 130.25 79.25) (width 0.2) (layer "F.Cu") (net 35) (tstamp 9a404c36-b745-4cde-8da4-6acba3444622))
+  (segment (start 128.325 82.15) (end 128.325 82.925) (width 0.2) (layer "F.Cu") (net 35) (tstamp a389034e-2451-4a2c-9ffe-b37dff7a20f8))
+  (via (at 127 83.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 35) (tstamp f8abaee3-d918-463a-a01c-f16b34a3fcc1))
+  (segment (start 120.5 84) (end 121 83.5) (width 0.2) (layer "B.Cu") (net 35) (tstamp 0f9c185e-33df-41d7-89f7-f93b5303add6))
+  (segment (start 122.375 83.75) (end 127 83.75) (width 0.2) (layer "B.Cu") (net 35) (tstamp 455f79b6-213e-4eb4-b6c2-9c19d60ff0bb))
+  (segment (start 121 83.5) (end 122.125 83.5) (width 0.2) (layer "B.Cu") (net 35) (tstamp 478cb7fa-e6a8-44ed-a810-3be410de563f))
+  (segment (start 116.885 87.65) (end 116.885 84.865) (width 0.2) (layer "B.Cu") (net 35) (tstamp 4ca7f14c-fa80-45f3-a0df-9bbf9913d40b))
+  (segment (start 117.75 84) (end 120.5 84) (width 0.2) (layer "B.Cu") (net 35) (tstamp 7c41ead7-70e9-4606-9440-efa6636e7c0f))
+  (segment (start 122.125 83.5) (end 122.375 83.75) (width 0.2) (layer "B.Cu") (net 35) (tstamp 9433082e-7efe-4497-8c68-bbc808945e70))
+  (segment (start 116.885 84.865) (end 117.75 84) (width 0.2) (layer "B.Cu") (net 35) (tstamp c2ca4495-1069-42e8-aa74-8408efb58249))
+  (segment (start 118 96.25) (end 123.5 96.25) (width 0.2) (layer "F.Cu") (net 36) (tstamp 03c46bde-260a-4269-8c19-5eabfb398f64))
+  (segment (start 114.6825 95.1825) (end 115 95.5) (width 0.2) (layer "F.Cu") (net 36) (tstamp 07cd88d4-51d5-4d4c-bf62-f99b593052a1))
+  (segment (start 115 95.5) (end 117.25 95.5) (width 0.2) (layer "F.Cu") (net 36) (tstamp 24ec3de9-3441-477c-b5be-a9284e265110))
+  (segment (start 123.75 96.5) (end 123.75 98.75) (width 0.2) (layer "F.Cu") (net 36) (tstamp 3d4599c9-6cab-4286-9f20-11c78c7884fb))
+  (segment (start 124.155 99.155) (end 124.155 100.025) (width 0.2) (layer "F.Cu") (net 36) (tstamp 5b6283e8-644f-47a4-9232-4c46b7872a8f))
+  (segment (start 114.6825 92.6) (end 114.6825 95.1825) (width 0.2) (layer "F.Cu") (net 36) (tstamp 75108ccd-aa11-4d4e-8746-04ecaa83d389))
+  (segment (start 123.5 96.25) (end 123.75 96.5) (width 0.2) (layer "F.Cu") (net 36) (tstamp 872067c7-532f-43fd-828f-c5bd9650541e))
+  (segment (start 123.75 98.75) (end 124.155 99.155) (width 0.2) (layer "F.Cu") (net 36) (tstamp a10c19ab-7686-4b76-925e-8e9b9119554a))
+  (segment (start 117.25 95.5) (end 118 96.25) (width 0.2) (layer "F.Cu") (net 36) (tstamp c60b6b4b-da90-4621-800f-c684930870bd))
+  (segment (start 117.75 96.75) (end 117.75 98.75) (width 0.2) (layer "F.Cu") (net 37) (tstamp 08422d8c-6f41-4026-9c35-21ba0468850b))
+  (segment (start 118.155 99.155) (end 118.155 100.025) (width 0.2) (layer "F.Cu") (net 37) (tstamp 2506570e-d602-4296-a82c-3818f5f5846c))
+  (segment (start 114.75 96) (end 117 96) (width 0.2) (layer "F.Cu") (net 37) (tstamp 724075fd-50c1-446b-82a4-a67b4d2d97c5))
+  (segment (start 114.0475 92.6) (end 114.0475 95.2975) (width 0.2) (layer "F.Cu") (net 37) (tstamp 78f1c74f-d843-439b-9e95-54a052036242))
+  (segment (start 117.75 98.75) (end 118.155 99.155) (width 0.2) (layer "F.Cu") (net 37) (tstamp 9bd1addd-0a7e-4d03-acbf-43aab866ea17))
+  (segment (start 114.0475 95.2975) (end 114.75 96) (width 0.2) (layer "F.Cu") (net 37) (tstamp b630389c-48ff-4a4d-beec-251c3587f022))
+  (segment (start 117 96) (end 117.75 96.75) (width 0.2) (layer "F.Cu") (net 37) (tstamp e493c9ba-95ee-46bb-a0bd-c45afa2a79a3))
+  (segment (start 113.4125 95.5875) (end 113 96) (width 0.2) (layer "F.Cu") (net 38) (tstamp 35c3d0ea-69f6-40e3-9506-46f63029e8bb))
+  (segment (start 112.155 99.155) (end 111.75 98.75) (width 0.2) (layer "F.Cu") (net 38) (tstamp 51f38a06-ff9d-4d96-8ff1-39ce3f5213f1))
+  (segment (start 113 96) (end 112.25 96) (width 0.2) (layer "F.Cu") (net 38) (tstamp 88556017-d508-425d-a573-aea1ef9fff97))
+  (segment (start 113.4125 92.6) (end 113.4125 95.5875) (width 0.2) (layer "F.Cu") (net 38) (tstamp 96d34044-8182-4ded-98c7-cd5caef54b52))
+  (segment (start 112.155 100.025) (end 112.155 99.155) (width 0.2) (layer "F.Cu") (net 38) (tstamp a2d5e888-475c-4a56-ad99-196ba5810501))
+  (segment (start 112.25 96) (end 111.75 96.5) (width 0.2) (layer "F.Cu") (net 38) (tstamp c0bf9daa-b4d4-493e-9fcd-984ae013624d))
+  (segment (start 111.75 98.75) (end 111.75 96.5) (width 0.2) (layer "F.Cu") (net 38) (tstamp c87bbcea-811a-4919-81a6-25c0628a48df))
+  (segment (start 120.345 99.155) (end 120.75 98.75) (width 0.2) (layer "F.Cu") (net 39) (tstamp 01a659bf-2c12-4a1e-8f85-919a34a3e38b))
+  (segment (start 120.75 98.75) (end 120.75 97) (width 0.2) (layer "F.Cu") (net 39) (tstamp 3d664a19-ac2b-47d5-a882-9f3dae96e996))
+  (segment (start 120.345 100.025) (end 120.345 99.155) (width 0.2) (layer "F.Cu") (net 39) (tstamp 6cf3ec02-9f46-42f3-bc01-9dd0b3bb6a8f))
+  (segment (start 116.5875 92.6) (end 116.5875 94) (width 0.2) (layer "F.Cu") (net 39) (tstamp a679628f-629d-48e0-ac7d-66bad1349278))
+  (via (at 120.75 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 39) (tstamp a5e24de1-01a7-4922-a0bf-c0b17817c352))
+  (via (at 116.5875 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 39) (tstamp ae4ccdad-ceb2-4d09-81c5-5324e44d57e4))
+  (segment (start 118 96.25) (end 120.5 96.25) (width 0.2) (layer "B.Cu") (net 39) (tstamp 33544e75-07f0-4372-946e-eef336051b8a))
+  (segment (start 120.75 96.5) (end 120.75 97) (width 0.2) (layer "B.Cu") (net 39) (tstamp 994f1cf4-b2cd-4902-aeb5-866da180bab5))
+  (segment (start 116.5875 94) (end 116.5875 94.8375) (width 0.2) (layer "B.Cu") (net 39) (tstamp d2bb56d6-f9fa-4d85-b894-56a52c05fa75))
+  (segment (start 120.5 96.25) (end 120.75 96.5) (width 0.2) (layer "B.Cu") (net 39) (tstamp f01bd64c-87a0-49c3-bb8c-68da363c4c0a))
+  (segment (start 116.5875 94.8375) (end 118 96.25) (width 0.2) (layer "B.Cu") (net 39) (tstamp fadd2d8b-c071-472a-97b5-be6ee68b2dae))
+  (segment (start 115.9525 92.6) (end 115.9525 94.75) (width 0.2) (layer "F.Cu") (net 40) (tstamp 017322e5-18ba-4f84-866a-a3a38ffbfb9e))
+  (segment (start 114.75 98.75) (end 114.75 97) (width 0.2) (layer "F.Cu") (net 40) (tstamp 4366cd33-2431-4a34-80de-68dddcd8e8da))
+  (segment (start 114.345 99.155) (end 114.75 98.75) (width 0.2) (layer "F.Cu") (net 40) (tstamp b6801845-92c8-4602-b52f-249639b376e6))
+  (segment (start 114.345 100.025) (end 114.345 99.155) (width 0.2) (layer "F.Cu") (net 40) (tstamp d596525b-3920-40ee-a0d0-bbc9a96c2cbf))
+  (via (at 115.9525 94.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 40) (tstamp 34740350-ba83-4836-8717-f0660003b9ea))
+  (via (at 114.75 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 40) (tstamp ff838a9b-13a2-44fc-bfd8-22a8ab12fa48))
+  (segment (start 114.75 97) (end 114.75 95.9525) (width 0.2) (layer "B.Cu") (net 40) (tstamp 7cf3c9a8-e024-4d07-9e5f-7ad5e5e749e4))
+  (segment (start 114.75 95.9525) (end 115.9525 94.75) (width 0.2) (layer "B.Cu") (net 40) (tstamp ab852064-9b9c-4086-b454-ddd9e5773741))
+  (segment (start 108.345 99.155) (end 108.75 98.75) (width 0.2) (layer "F.Cu") (net 41) (tstamp 56f68d75-1259-41f1-88ba-93e12c9d2d4b))
+  (segment (start 115.3175 92.6) (end 115.3175 94) (width 0.2) (layer "F.Cu") (net 41) (tstamp 58395038-dc3a-4cd6-ad76-77e4181498fd))
+  (segment (start 108.345 100.025) (end 108.345 99.155) (width 0.2) (layer "F.Cu") (net 41) (tstamp 6c89102d-49b3-44f5-af25-bcdc22156ff5))
+  (segment (start 108.75 98.75) (end 108.75 97) (width 0.2) (layer "F.Cu") (net 41) (tstamp 81f40fc0-4745-4178-b096-f4435c7bfecb))
+  (via (at 108.75 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 41) (tstamp 058125aa-ed5e-4255-a88f-7bfab87ee84e))
+  (via (at 115.3175 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 41) (tstamp e0c6d740-e777-411e-bfe4-13ddaae3ea00))
+  (segment (start 109.25 98) (end 112.75 98) (width 0.2) (layer "B.Cu") (net 41) (tstamp 529a26dc-3502-4e8c-a337-4c78762e94c8))
+  (segment (start 113.25 97.5) (end 113.25 96.0675) (width 0.2) (layer "B.Cu") (net 41) (tstamp 657a7abf-d290-47f1-bc0e-429f9e7c4611))
+  (segment (start 108.75 97) (end 108.75 97.5) (width 0.2) (layer "B.Cu") (net 41) (tstamp 77190031-36fd-48a4-bf8f-b0493db10f53))
+  (segment (start 108.75 97.5) (end 109.25 98) (width 0.2) (layer "B.Cu") (net 41) (tstamp 772fe882-58fe-416c-aa11-dbd4c4839dbc))
+  (segment (start 112.75 98) (end 113.25 97.5) (width 0.2) (layer "B.Cu") (net 41) (tstamp 8b1f5b44-3aac-415c-a597-268858356143))
+  (segment (start 113.25 96.0675) (end 115.3175 94) (width 0.2) (layer "B.Cu") (net 41) (tstamp de66d9fe-56d4-4064-97ed-8cffd26eece1))
+  (segment (start 110.885 98.115) (end 111 98) (width 0.2) (layer "F.Cu") (net 43) (tstamp 49a970aa-8f4c-41ad-a96a-14e2e7124293))
+  (segment (start 110.885 100.025) (end 110.885 98.115) (width 0.2) (layer "F.Cu") (net 43) (tstamp 848d3151-9266-45cc-ba47-c8a7111513ce))
+  (segment (start 110.925 98) (end 110.925 97) (width 0.2) (layer "F.Cu") (net 43) (tstamp 9295a02b-b950-4926-975e-8a596c7e8fed))
+  (via (at 110.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 43) (tstamp 298c4955-0d3b-4ab9-8840-d2b93b4d29fe))
+  (segment (start 113.075 94.85) (end 110.925 97) (width 0.2) (layer "B.Cu") (net 43) (tstamp 159d04d9-c88f-4189-bbf6-f80f927384b6))
+  (segment (start 113.075 92.35) (end 113.075 94.85) (width 0.2) (layer "B.Cu") (net 43) (tstamp 969a3126-e2f9-44e9-8154-e55af0244a25))
+  (segment (start 116.885 100.025) (end 116.885 98.115) (width 0.2) (layer "F.Cu") (net 44) (tstamp 4f516a8d-bcc7-4712-a071-f4cd17367e16))
+  (segment (start 116.925 98) (end 116.925 97) (width 0.2) (layer "F.Cu") (net 44) (tstamp 736272e3-2e2c-4c2e-8456-6dba77f95844))
+  (segment (start 116.885 98.115) (end 117 98) (width 0.2) (layer "F.Cu") (net 44) (tstamp 82e440ad-ff58-435b-9671-7ea26b238d73))
+  (via (at 116.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 44) (tstamp 451bbf04-b976-43fd-8570-448eb21908af))
+  (segment (start 115.25 100) (end 114.75 100) (width 0.2) (layer "B.Cu") (net 44) (tstamp 3cb4a6fe-e8b4-4c53-85db-cb0d1fa5c1d6))
+  (segment (start 116.925 98.325) (end 115.25 100) (width 0.2) (layer "B.Cu") (net 44) (tstamp 81133af7-1126-43d3-b0f1-20aac963e236))
+  (segment (start 114.345 100.405) (end 114.345 101.65) (width 0.2) (layer "B.Cu") (net 44) (tstamp b165995c-7784-4cb0-89d4-cddeab38520a))
+  (segment (start 114.75 100) (end 114.345 100.405) (width 0.2) (layer "B.Cu") (net 44) (tstamp ebe9a277-2e09-437c-a5a0-ce2434c2e71a))
+  (segment (start 116.925 97) (end 116.925 98.325) (width 0.2) (layer "B.Cu") (net 44) (tstamp fd6268f2-66e2-4efd-bb63-f238ba7a5ce3))
+  (segment (start 115.615 100.025) (end 115.615 98.115) (width 0.2) (layer "F.Cu") (net 45) (tstamp 1fd39440-c666-451e-9cd9-aeadc4cf22d5))
+  (segment (start 115.615 98.115) (end 115.5 98) (width 0.2) (layer "F.Cu") (net 45) (tstamp 9805abaf-4b0d-449a-a349-71ca93dedf4b))
+  (segment (start 115.575 98) (end 115.575 97) (width 0.2) (layer "F.Cu") (net 45) (tstamp bc76dc19-ae5d-499e-8591-80588aa6f6cd))
+  (via (at 115.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 45) (tstamp a1271f67-e593-4773-85a4-7f9d441bd7bd))
+  (segment (start 115.575 97) (end 115.575 98.925) (width 0.2) (layer "B.Cu") (net 45) (tstamp 3ddb17f7-1dd6-4d05-b9fe-7e1b2158297b))
+  (segment (start 114 99.5) (end 113.075 100.425) (width 0.2) (layer "B.Cu") (net 45) (tstamp 68d19ffd-bdc7-4acb-a8a4-f084f36a5a29))
+  (segment (start 115.575 98.925) (end 115 99.5) (width 0.2) (layer "B.Cu") (net 45) (tstamp ca5ede00-4bdd-40c5-943d-f2b266217417))
+  (segment (start 113.075 100.425) (end 113.075 101.65) (width 0.2) (layer "B.Cu") (net 45) (tstamp f2455dcc-bcf5-4c91-a2d1-68706a3da676))
+  (segment (start 115 99.5) (end 114 99.5) (width 0.2) (layer "B.Cu") (net 45) (tstamp feca293c-47fd-49fa-886e-c664a18934f3))
+  (segment (start 122.925 98) (end 122.925 97) (width 0.2) (layer "F.Cu") (net 46) (tstamp 143fea62-f2f6-4fa2-a443-a4c8b39d954f))
+  (segment (start 122.885 98.115) (end 123 98) (width 0.2) (layer "F.Cu") (net 46) (tstamp 284fe022-cbe4-4d51-9362-6d56777cdd32))
+  (segment (start 122.885 100.025) (end 122.885 98.115) (width 0.2) (layer "F.Cu") (net 46) (tstamp effe4517-cfec-4d33-9c5f-8bd7f8898673))
+  (via (at 122.925 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 46) (tstamp 908b543a-bdb0-4ce6-849a-da350e8602e1))
+  (segment (start 122.925 98.575) (end 122.925 97) (width 0.2) (layer "B.Cu") (net 46) (tstamp 05945789-3f6f-4304-a908-bf43b656839d))
+  (segment (start 116.885 100.365) (end 117.25 100) (width 0.2) (layer "B.Cu") (net 46) (tstamp 0b1321a8-141d-49ef-8459-0ef5ecb35709))
+  (segment (start 121.5 100) (end 122.925 98.575) (width 0.2) (layer "B.Cu") (net 46) (tstamp 4312e040-47df-48bd-8f9f-dfaf0486c027))
+  (segment (start 117.25 100) (end 121.5 100) (width 0.2) (layer "B.Cu") (net 46) (tstamp 9205e2a7-efb9-46ed-865a-cb159583259f))
+  (segment (start 116.885 101.65) (end 116.885 100.365) (width 0.2) (layer "B.Cu") (net 46) (tstamp c4248315-7ab2-4ffe-a0cd-6a7ecf52b625))
+  (segment (start 121.615 100.025) (end 121.615 98.135) (width 0.2) (layer "F.Cu") (net 47) (tstamp 5b54d7ab-bc7d-44fe-80e5-d203a45adb74))
+  (segment (start 121.575 98) (end 121.575 97) (width 0.2) (layer "F.Cu") (net 47) (tstamp bd8588de-5262-41f3-87db-b029459d6dde))
+  (via (at 121.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 47) (tstamp 4f544a7d-3f3d-4530-a5bb-63f17801b5aa))
+  (segment (start 115.615 101.65) (end 115.615 100.385) (width 0.2) (layer "B.Cu") (net 47) (tstamp 0c237864-af92-4909-81b3-c9908aabcca2))
+  (segment (start 116.5 99.5) (end 121.25 99.5) (width 0.2) (layer "B.Cu") (net 47) (tstamp 2ea569f3-e08c-446b-bde6-35ef559e86a9))
+  (segment (start 115.615 100.385) (end 116.5 99.5) (width 0.2) (layer "B.Cu") (net 47) (tstamp 6a955895-fc99-4b0a-9ddc-ab9a54f4017a))
+  (segment (start 121.25 99.5) (end 121.575 99.175) (width 0.2) (layer "B.Cu") (net 47) (tstamp d9676e1b-faee-49aa-b07e-27fe95f9a034))
+  (segment (start 121.575 99.175) (end 121.575 97) (width 0.2) (layer "B.Cu") (net 47) (tstamp e24eb881-df77-485b-a25f-b0cd1c90fc3f))
+  (segment (start 109.615 98.115) (end 109.5 98) (width 0.2) (layer "F.Cu") (net 51) (tstamp 151bf2d9-c022-4555-817f-e18cdc8f9fe4))
+  (segment (start 109.575 98) (end 109.575 97) (width 0.2) (layer "F.Cu") (net 51) (tstamp cb80cdbc-eaa1-4af4-b3a6-db4b67c92e56))
+  (segment (start 109.615 100.025) (end 109.615 98.115) (width 0.2) (layer "F.Cu") (net 51) (tstamp fc9a0fe1-fffe-4304-ae91-de02eec121e3))
+  (via (at 109.575 97) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 51) (tstamp ed26a8e7-4e26-4132-9d8b-8954f6336894))
+  (segment (start 111.805 92.35) (end 111.805 94.77) (width 0.2) (layer "B.Cu") (net 51) (tstamp 533febd2-5c76-472c-b6ba-6a6b9121086e))
+  (segment (start 111.805 94.77) (end 109.575 97) (width 0.2) (layer "B.Cu") (net 51) (tstamp d01713ce-d0d0-4024-8b36-05e099c7bdfa))
+  (segment (start 120.115 92.475) (end 120.115 94.75) (width 0.2) (layer "F.Cu") (net 52) (tstamp 4fc82cd0-66c3-4ca9-a0ee-2b7227ec12ca))
+  (via (at 120.115 94.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 52) (tstamp 09f9894d-d218-4fc9-9237-569a9ab1d297))
+  (segment (start 118.155 92.35) (end 118.155 93.155) (width 0.2) (layer "B.Cu") (net 52) (tstamp 1dcd0410-0bbf-4bce-9889-ae9c1a4d2c5f))
+  (segment (start 119.365 94) (end 120.115 94.75) (width 0.2) (layer "B.Cu") (net 52) (tstamp 256c2c6f-baa7-49f2-bbb9-e0152e0c66e2))
+  (segment (start 118.155 93.155) (end 119 94) (width 0.2) (layer "B.Cu") (net 52) (tstamp 545d008b-2a8d-4902-b501-339a2bb19c07))
+  (segment (start 119 94) (end 119.365 94) (width 0.2) (layer "B.Cu") (net 52) (tstamp 6e8357ae-01dd-4895-8371-826a29b8740b))
+  (segment (start 121.385 92.475) (end 121.385 94) (width 0.2) (layer "F.Cu") (net 53) (tstamp d2e01fc0-0324-40f8-a77a-545f524ad80f))
+  (via (at 121.385 94) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 53) (tstamp 62c541c1-7fc2-458f-bf5e-537d23d61901))
+  (segment (start 120.25 94) (end 121.385 94) (width 0.2) (layer "B.Cu") (net 53) (tstamp 3d208119-ef1d-45cd-90be-5b41dc7958e2))
+  (segment (start 119.425 92.35) (end 119.425 93.175) (width 0.2) (layer "B.Cu") (net 53) (tstamp 490cfcd5-7fa8-4679-b9f2-b865ae2b49ea))
+  (segment (start 119.425 93.175) (end 120.25 94) (width 0.2) (layer "B.Cu") (net 53) (tstamp dc5137f6-382d-421e-92b7-a944bc23d971))
+
+  (zone (net 5) (net_name "+5VA") (layer "F.Cu") (tstamp 8528e931-65bc-44c0-8956-7f7d851f8031) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 110.5 86.5)
+        (xy 110.5 88.25)
+        (xy 110.25 88.5)
+        (xy 108.5 88.5)
+        (xy 108 89)
+        (xy 108 89.25)
+        (xy 107.75 89.5)
+        (xy 105.5 89.5)
+        (xy 105.25 89.25)
+        (xy 105.25 88.25)
+        (xy 105.5 88)
+        (xy 107 88)
+        (xy 107.25 87.75)
+        (xy 107.25 86.25)
+        (xy 107.5 86)
+        (xy 110 86)
+      )
+    )
+    (filled_polygon
+      (layer "F.Cu")
+      (pts
+        (xy 109.65129 86.000477)
+        (xy 109.803265 86.015445)
+        (xy 109.822293 86.01923)
+        (xy 109.963758 86.062143)
+        (xy 109.981687 86.06957)
+        (xy 110.112054 86.139253)
+        (xy 110.12819 86.150034)
+        (xy 110.242466 86.243817)
+        (xy 110.256183 86.257534)
+        (xy 110.294544 86.304277)
+        (xy 110.349966 86.37181)
+        (xy 110.360747 86.387946)
+        (xy 110.43043 86.518313)
+        (xy 110.437857 86.536241)
+        (xy 110.48077 86.677707)
+        (xy 110.484555 86.696737)
+        (xy 110.499523 86.84871)
+        (xy 110.5 86.858414)
+        (xy 110.5 88.066735)
+        (xy 110.499153 88.079657)
+        (xy 110.487159 88.17076)
+        (xy 110.48047 88.195723)
+        (xy 110.447812 88.274567)
+        (xy 110.43489 88.29695)
+        (xy 110.382934 88.36466)
+        (xy 110.36466 88.382934)
+        (xy 110.29695 88.43489)
+        (xy 110.274567 88.447812)
+        (xy 110.195723 88.48047)
+        (xy 110.17076 88.487159)
+        (xy 110.079657 88.499153)
+        (xy 110.066735 88.5)
+        (xy 108.5 88.5)
+        (xy 108 89)
+        (xy 108 89.125)
+        (xy 107.980871 89.221171)
+        (xy 107.966088 89.25686)
+        (xy 107.917031 89.330278)
+        (xy 107.90472 89.34528)
+        (xy 107.879587 89.370413)
+        (xy 107.86985 89.378951)
+        (xy 107.796949 89.43489)
+        (xy 107.774567 89.447812)
+        (xy 107.695723 89.48047)
+        (xy 107.67076 89.487159)
+        (xy 107.579657 89.499153)
+        (xy 107.566735 89.5)
+        (xy 105.683265 89.5)
+        (xy 105.670343 89.499153)
+        (xy 105.57924 89.487159)
+        (xy 105.554277 89.48047)
+        (xy 105.475433 89.447812)
+        (xy 105.45305 89.43489)
+        (xy 105.38534 89.382934)
+        (xy 105.367066 89.36466)
+        (xy 105.31511 89.29695)
+        (xy 105.302188 89.274567)
+        (xy 105.26953 89.195723)
+        (xy 105.262841 89.17076)
+        (xy 105.250847 89.079657)
+        (xy 105.25 89.066735)
+        (xy 105.25 88.433265)
+        (xy 105.250847 88.420343)
+        (xy 105.262841 88.32924)
+        (xy 105.26953 88.304277)
+        (xy 105.302188 88.225433)
+        (xy 105.31511 88.20305)
+        (xy 105.367066 88.13534)
+        (xy 105.38534 88.117066)
+        (xy 105.45305 88.06511)
+        (xy 105.475433 88.052188)
+        (xy 105.554277 88.01953)
+        (xy 105.57924 88.012841)
+        (xy 105.670343 88.000847)
+        (xy 105.683265 88)
+        (xy 107 88)
+        (xy 107.25 87.75)
+        (xy 107.25 86.433265)
+        (xy 107.250847 86.420343)
+        (xy 107.262841 86.32924)
+        (xy 107.26953 86.304277)
+        (xy 107.302188 86.225433)
+        (xy 107.31511 86.20305)
+        (xy 107.367066 86.13534)
+        (xy 107.38534 86.117066)
+        (xy 107.45305 86.06511)
+        (xy 107.475433 86.052188)
+        (xy 107.554277 86.01953)
+        (xy 107.57924 86.012841)
+        (xy 107.670343 86.000847)
+        (xy 107.683265 86)
+        (xy 109.641586 86)
+      )
+    )
+  )
+  (zone (net 3) (net_name "+12VA") (layer "F.Cu") (tstamp f05fc3a9-efe5-4132-99f3-c9ebfb6734dc) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.254))
+    (min_thickness 0.254) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.254) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 108 92.75)
+        (xy 108 93.5)
+        (xy 108.5 94)
+        (xy 110.25 94)
+        (xy 110.75 94.5)
+        (xy 110.75 95.25)
+        (xy 110.25 95.75)
+        (xy 109 95.75)
+        (xy 108.25 96.5)
+        (xy 107.5 96.5)
+        (xy 107.25 96.25)
+        (xy 107.25 95.5)
+        (xy 107 95.25)
+        (xy 104 95.25)
+        (xy 103.75 95.5)
+        (xy 103.75 96.25)
+        (xy 103.5 96.5)
+        (xy 102.5 96.5)
+        (xy 101.75 97.25)
+        (xy 101 97.25)
+        (xy 100.25 96.5)
+        (xy 100.25 95.75)
+        (xy 101.5 94.5)
+        (xy 103 94.5)
+        (xy 103.75 94.5)
+        (xy 105.5 92.75)
+        (xy 105.75 92.5)
+        (xy 107.75 92.5)
+      )
+    )
+    (filled_polygon
+      (layer "F.Cu")
+      (pts
+        (xy 107.581411 92.501078)
+        (xy 107.615625 92.505582)
+        (xy 107.667235 92.512377)
+        (xy 107.699006 92.52089)
+        (xy 107.771288 92.55083)
+        (xy 107.799774 92.567277)
+        (xy 107.86184 92.614902)
+        (xy 107.885098 92.63816)
+        (xy 107.932723 92.700226)
+        (xy 107.94917 92.728712)
+        (xy 107.97911 92.800994)
+        (xy 107.987623 92.832765)
+        (xy 107.998922 92.918588)
+        (xy 108 92.935035)
+        (xy 108 93.5)
+        (xy 108.5 94)
+        (xy 109.89026 94)
+        (xy 109.902609 94.000607)
+        (xy 109.943407 94.004625)
+        (xy 110.050618 94.015185)
+        (xy 110.07484 94.020003)
+        (xy 110.211217 94.061372)
+        (xy 110.234029 94.070821)
+        (xy 110.359716 94.138003)
+        (xy 110.380243 94.151719)
+        (xy 110.435327 94.196925)
+        (xy 110.490407 94.242128)
+        (xy 110.507872 94.259593)
+        (xy 110.553075 94.314672)
+        (xy 110.598281 94.369757)
+        (xy 110.611997 94.390284)
+        (xy 110.67048 94.499697)
+        (xy 110.679178 94.51597)
+        (xy 110.688628 94.538783)
+        (xy 110.699954 94.57612)
+        (xy 110.729997 94.675158)
+        (xy 110.734815 94.699382)
+        (xy 110.749393 94.847389)
+        (xy 110.75 94.85974)
+        (xy 110.75 94.89026)
+        (xy 110.749393 94.902611)
+        (xy 110.734815 95.050618)
+        (xy 110.729997 95.07484)
+        (xy 110.688628 95.211217)
+        (xy 110.679179 95.234029)
+        (xy 110.611997 95.359716)
+        (xy 110.598281 95.380243)
+        (xy 110.597209 95.38155)
+        (xy 110.507872 95.490407)
+        (xy 110.490407 95.507872)
+        (xy 110.435328 95.553075)
+        (xy 110.380243 95.598281)
+        (xy 110.359716 95.611997)
+        (xy 110.246168 95.67269)
+        (xy 110.23403 95.679178)
+        (xy 110.211217 95.688628)
+        (xy 110.07484 95.729997)
+        (xy 110.050618 95.734815)
+        (xy 109.943407 95.745375)
+        (xy 109.902609 95.749393)
+        (xy 109.89026 95.75)
+        (xy 109 95.75)
+        (xy 108.519548 96.230452)
+        (xy 108.510386 96.238757)
+        (xy 108.433786 96.301621)
+        (xy 108.387565 96.339553)
+        (xy 108.367034 96.353271)
+        (xy 108.232396 96.425238)
+        (xy 108.209581 96.434688)
+        (xy 108.132568 96.458049)
+        (xy 108.069846 96.459075)
+        (xy 108.069754 96.459772)
+        (xy 107.925 96.440715)
+        (xy 107.916812 96.441793)
+        (xy 107.788432 96.458694)
+        (xy 107.78843 96.458695)
+        (xy 107.780246 96.459772)
+        (xy 107.772618 96.462932)
+        (xy 107.772613 96.462933)
+        (xy 107.712787 96.487714)
+        (xy 107.648123 96.496228)
+        (xy 107.582764 96.487623)
+        (xy 107.550994 96.47911)
+        (xy 107.478712 96.44917)
+        (xy 107.450226 96.432723)
+        (xy 107.38816 96.385098)
+        (xy 107.364902 96.36184)
+        (xy 107.317277 96.299774)
+        (xy 107.30083 96.271288)
+        (xy 107.27089 96.199006)
+        (xy 107.262377 96.167235)
+        (xy 107.251078 96.081412)
+        (xy 107.25 96.064965)
+        (xy 107.25 95.5)
+        (xy 107 95.25)
+        (xy 104 95.25)
+        (xy 103.75 95.5)
+        (xy 103.75 96.064965)
+        (xy 103.748922 96.081412)
+        (xy 103.737623 96.167235)
+        (xy 103.72911 96.199006)
+        (xy 103.69917 96.271288)
+        (xy 103.682723 96.299774)
+        (xy 103.635098 96.36184)
+        (xy 103.61184 96.385098)
+        (xy 103.549774 96.432723)
+        (xy 103.521288 96.44917)
+        (xy 103.449006 96.47911)
+        (xy 103.417235 96.487623)
+        (xy 103.365625 96.494418)
+        (xy 103.331411 96.498922)
+        (xy 103.314965 96.5)
+        (xy 102.5 96.5)
+        (xy 102.019548 96.980452)
+        (xy 102.010386 96.988757)
+        (xy 101.887565 97.089553)
+        (xy 101.867028 97.103275)
+        (xy 101.732401 97.175235)
+        (xy 101.709581 97.184687)
+        (xy 101.563494 97.229002)
+        (xy 101.539271 97.23382)
+        (xy 101.387346 97.248784)
+        (xy 101.362654 97.248784)
+        (xy 101.210729 97.23382)
+        (xy 101.186506 97.229002)
+        (xy 101.040419 97.184687)
+        (xy 101.017599 97.175235)
+        (xy 100.882972 97.103275)
+        (xy 100.862435 97.089553)
+        (xy 100.739614 96.988757)
+        (xy 100.730452 96.980452)
+        (xy 100.519548 96.769548)
+        (xy 100.511243 96.760386)
+        (xy 100.439082 96.672457)
+        (xy 100.410447 96.637564)
+        (xy 100.396725 96.617028)
+        (xy 100.324765 96.482401)
+        (xy 100.315313 96.459581)
+        (xy 100.270998 96.313494)
+        (xy 100.266179 96.289268)
+        (xy 100.251216 96.137346)
+        (xy 100.251216 96.11265)
+        (xy 100.2551 96.073223)
+        (xy 100.26618 95.960729)
+        (xy 100.270998 95.936506)
+        (xy 100.315313 95.790419)
+        (xy 100.324765 95.767599)
+        (xy 100.360745 95.700285)
+        (xy 100.396726 95.632971)
+        (xy 100.410447 95.612435)
+        (xy 100.511243 95.489614)
+        (xy 100.519548 95.480452)
+        (xy 101.202725 94.797275)
+        (xy 101.211886 94.788971)
+        (xy 101.34905 94.676403)
+        (xy 101.369588 94.66268)
+        (xy 101.520584 94.581971)
+        (xy 101.543404 94.572518)
+        (xy 101.707256 94.522815)
+        (xy 101.731473 94.517999)
+        (xy 101.908051 94.500607)
+        (xy 101.920401 94.5)
+        (xy 103.75 94.5)
+        (xy 105.452725 92.797275)
+        (xy 105.461886 92.788971)
+        (xy 105.59905 92.676403)
+        (xy 105.619588 92.66268)
+        (xy 105.770584 92.581971)
+        (xy 105.793404 92.572518)
+        (xy 105.957256 92.522815)
+        (xy 105.981473 92.517999)
+        (xy 106.158051 92.500607)
+        (xy 106.170401 92.5)
+        (xy 107.564965 92.5)
+      )
+    )
+  )
+  (zone (net 4) (net_name "GNDA") (layer "In1.Cu") (tstamp 713797ed-d99b-42af-a944-f462e1104d67) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 110.25 91.25)
+        (xy 124.25 91.25)
+        (xy 124.25 95.75)
+        (xy 125 96.5)
+        (xy 125 108)
+        (xy 96.5 108)
+        (xy 96.5 87.5)
+        (xy 103.5 87.5)
+        (xy 105.25 85.75)
+        (xy 110.25 85.75)
+      )
+    )
+    (filled_polygon
+      (layer "In1.Cu")
+      (pts
+        (xy 109.254843 85.750477)
+        (xy 109.435388 85.768259)
+        (xy 109.454418 85.772045)
+        (xy 109.527017 85.794067)
+        (xy 109.623353 85.82329)
+        (xy 109.641283 85.830717)
+        (xy 109.796969 85.913933)
+        (xy 109.813106 85.924715)
+        (xy 109.949573 86.03671)
+        (xy 109.96329 86.050427)
+        (xy 110.019698 86.11916)
+        (xy 110.075285 86.186894)
+        (xy 110.086067 86.203031)
+        (xy 110.169283 86.358717)
+        (xy 110.17671 86.376647)
+        (xy 110.227955 86.54558)
+        (xy 110.231741 86.564614)
+        (xy 110.249523 86.745157)
+        (xy 110.25 86.754861)
+        (xy 110.25 91.25)
+        (xy 123.245139 91.25)
+        (xy 123.254843 91.250477)
+        (xy 123.435388 91.268259)
+        (xy 123.454418 91.272045)
+        (xy 123.538886 91.297667)
+        (xy 123.623353 91.32329)
+        (xy 123.641283 91.330717)
+        (xy 123.796969 91.413933)
+        (xy 123.813106 91.424715)
+        (xy 123.949573 91.53671)
+        (xy 123.96329 91.550427)
+        (xy 124.030102 91.631837)
+        (xy 124.075285 91.686894)
+        (xy 124.086067 91.703031)
+        (xy 124.169283 91.858717)
+        (xy 124.17671 91.876647)
+        (xy 124.227955 92.04558)
+        (xy 124.231741 92.064614)
+        (xy 124.249523 92.245157)
+        (xy 124.25 92.254861)
+        (xy 124.25 95.75)
+        (xy 124.703672 96.203672)
+        (xy 124.710196 96.210871)
+        (xy 124.825285 96.351107)
+        (xy 124.836067 96.367243)
+        (xy 124.843877 96.381854)
+        (xy 124.854634 96.442086)
+        (xy 124.827932 96.497138)
+        (xy 124.773972 96.525981)
+        (xy 124.728201 96.523372)
+        (xy 124.6565 96.501929)
+        (xy 124.649739 96.499907)
+        (xy 124.566497 96.499398)
+        (xy 124.513427 96.499074)
+        (xy 124.513426 96.499074)
+        (xy 124.506376 96.499031)
+        (xy 124.499599 96.500968)
+        (xy 124.499598 96.500968)
+        (xy 124.375309 96.53649)
+        (xy 124.375307 96.536491)
+        (xy 124.368529 96.538428)
+        (xy 124.24728 96.61493)
+        (xy 124.242613 96.620214)
+        (xy 124.242611 96.620216)
+        (xy 124.157044 96.717103)
+        (xy 124.157042 96.717105)
+        (xy 124.152377 96.722388)
+        (xy 124.091447 96.852163)
+        (xy 124.069391 96.993823)
+        (xy 124.070306 97.00082)
+        (xy 124.070306 97.000821)
+        (xy 124.071814 97.012354)
+        (xy 124.08798 97.135979)
+        (xy 124.090821 97.142435)
+        (xy 124.090821 97.142436)
+        (xy 124.131542 97.23498)
+        (xy 124.14572 97.267203)
+        (xy 124.158792 97.282754)
+        (xy 124.233431 97.371549)
+        (xy 124.233434 97.371551)
+        (xy 124.23797 97.376948)
+        (xy 124.243841 97.380856)
+        (xy 124.243842 97.380857)
+        (xy 124.248286 97.383815)
+        (xy 124.357313 97.45639)
+        (xy 124.433443 97.480174)
+        (xy 124.487425 97.497039)
+        (xy 124.487426 97.497039)
+        (xy 124.494157 97.499142)
+        (xy 124.565828 97.500456)
+        (xy 124.630445 97.501641)
+        (xy 124.630447 97.501641)
+        (xy 124.637499 97.50177)
+        (xy 124.644302 97.499915)
+        (xy 124.644304 97.499915)
+        (xy 124.719503 97.479413)
+        (xy 124.775817 97.46406)
+        (xy 124.8492 97.419003)
+        (xy 124.908681 97.404667)
+        (xy 124.965229 97.428033)
+        (xy 124.997244 97.480174)
+        (xy 125 97.503369)
+        (xy 125 106.995139)
+        (xy 124.999523 107.004843)
+        (xy 124.985942 107.142737)
+        (xy 124.981741 107.185386)
+        (xy 124.977955 107.20442)
+        (xy 124.92671 107.373353)
+        (xy 124.919283 107.391283)
+        (xy 124.836067 107.546969)
+        (xy 124.825285 107.563106)
+        (xy 124.71329 107.699573)
+        (xy 124.699573 107.71329)
+        (xy 124.67372 107.734507)
+        (xy 124.621297 107.777529)
+        (xy 124.558493 107.8)
+        (xy 97.53396 107.8)
+        (xy 97.511773 107.797482)
+        (xy 97.500358 107.794857)
+        (xy 97.489484 107.797318)
+        (xy 97.478335 107.797298)
+        (xy 97.478337 107.796284)
+        (xy 97.468476 107.796895)
+        (xy 97.412462 107.791378)
+        (xy 97.35363 107.785584)
+        (xy 97.3346 107.781798)
+        (xy 97.268891 107.761866)
+        (xy 97.203183 107.741934)
+        (xy 97.185255 107.734508)
+        (xy 97.064143 107.669772)
+        (xy 97.048009 107.658992)
+        (xy 97.022947 107.638425)
+        (xy 96.941848 107.571869)
+        (xy 96.928131 107.558152)
+        (xy 96.841008 107.451991)
+        (xy 96.830227 107.435856)
+        (xy 96.806402 107.391283)
+        (xy 96.765492 107.314745)
+        (xy 96.758066 107.296817)
+        (xy 96.731273 107.208492)
+        (xy 96.718202 107.1654)
+        (xy 96.714416 107.146368)
+        (xy 96.703103 107.031501)
+        (xy 96.702626 107.021629)
+        (xy 96.702644 107.011225)
+        (xy 96.705143 107.000358)
+        (xy 96.702441 106.988417)
+        (xy 96.7 106.966568)
+        (xy 96.7 106.743823)
+        (xy 111.494391 106.743823)
+        (xy 111.495306 106.75082)
+        (xy 111.495306 106.750821)
+        (xy 111.496814 106.762354)
+        (xy 111.51298 106.885979)
+        (xy 111.515821 106.892435)
+        (xy 111.515821 106.892436)
+        (xy 111.561012 106.995139)
+        (xy 111.57072 107.017203)
+        (xy 111.582744 107.031507)
+        (xy 111.658431 107.121549)
+        (xy 111.658434 107.121551)
+        (xy 111.66297 107.126948)
+        (xy 111.668841 107.130856)
+        (xy 111.668842 107.130857)
+        (xy 111.681143 107.139045)
+        (xy 111.782313 107.20639)
+        (xy 111.88292 107.237821)
+        (xy 111.912425 107.247039)
+        (xy 111.912426 107.247039)
+        (xy 111.919157 107.249142)
+        (xy 111.990828 107.250456)
+        (xy 112.055445 107.251641)
+        (xy 112.055447 107.251641)
+        (xy 112.062499 107.25177)
+        (xy 112.069302 107.249915)
+        (xy 112.069304 107.249915)
+        (xy 112.144503 107.229413)
+        (xy 112.200817 107.21406)
+        (xy 112.322991 107.139045)
+        (xy 112.330403 107.130857)
+        (xy 112.414468 107.037982)
+        (xy 112.4192 107.032754)
+        (xy 112.48171 106.903733)
+        (xy 112.485875 106.878982)
+        (xy 112.504862 106.766124)
+        (xy 112.504862 106.76612)
+        (xy 112.505496 106.762354)
+        (xy 112.505647 106.75)
+        (xy 112.504762 106.743823)
+        (xy 117.494391 106.743823)
+        (xy 117.495306 106.75082)
+        (xy 117.495306 106.750821)
+        (xy 117.496814 106.762354)
+        (xy 117.51298 106.885979)
+        (xy 117.515821 106.892435)
+        (xy 117.515821 106.892436)
+        (xy 117.561012 106.995139)
+        (xy 117.57072 107.017203)
+        (xy 117.582744 107.031507)
+        (xy 117.658431 107.121549)
+        (xy 117.658434 107.121551)
+        (xy 117.66297 107.126948)
+        (xy 117.668841 107.130856)
+        (xy 117.668842 107.130857)
+        (xy 117.681143 107.139045)
+        (xy 117.782313 107.20639)
+        (xy 117.88292 107.237821)
+        (xy 117.912425 107.247039)
+        (xy 117.912426 107.247039)
+        (xy 117.919157 107.249142)
+        (xy 117.990828 107.250456)
+        (xy 118.055445 107.251641)
+        (xy 118.055447 107.251641)
+        (xy 118.062499 107.25177)
+        (xy 118.069302 107.249915)
+        (xy 118.069304 107.249915)
+        (xy 118.144503 107.229413)
+        (xy 118.200817 107.21406)
+        (xy 118.322991 107.139045)
+        (xy 118.330403 107.130857)
+        (xy 118.414468 107.037982)
+        (xy 118.4192 107.032754)
+        (xy 118.48171 106.903733)
+        (xy 118.485875 106.878982)
+        (xy 118.504862 106.766124)
+        (xy 118.504862 106.76612)
+        (xy 118.505496 106.762354)
+        (xy 118.505647 106.75)
+        (xy 118.504762 106.743823)
+        (xy 123.494391 106.743823)
+        (xy 123.495306 106.75082)
+        (xy 123.495306 106.750821)
+        (xy 123.496814 106.762354)
+        (xy 123.51298 106.885979)
+        (xy 123.515821 106.892435)
+        (xy 123.515821 106.892436)
+        (xy 123.561012 106.995139)
+        (xy 123.57072 107.017203)
+        (xy 123.582744 107.031507)
+        (xy 123.658431 107.121549)
+        (xy 123.658434 107.121551)
+        (xy 123.66297 107.126948)
+        (xy 123.668841 107.130856)
+        (xy 123.668842 107.130857)
+        (xy 123.681143 107.139045)
+        (xy 123.782313 107.20639)
+        (xy 123.88292 107.237821)
+        (xy 123.912425 107.247039)
+        (xy 123.912426 107.247039)
+        (xy 123.919157 107.249142)
+        (xy 123.990828 107.250456)
+        (xy 124.055445 107.251641)
+        (xy 124.055447 107.251641)
+        (xy 124.062499 107.25177)
+        (xy 124.069302 107.249915)
+        (xy 124.069304 107.249915)
+        (xy 124.144503 107.229413)
+        (xy 124.200817 107.21406)
+        (xy 124.322991 107.139045)
+        (xy 124.330403 107.130857)
+        (xy 124.414468 107.037982)
+        (xy 124.4192 107.032754)
+        (xy 124.48171 106.903733)
+        (xy 124.485875 106.878982)
+        (xy 124.504862 106.766124)
+        (xy 124.504862 106.76612)
+        (xy 124.505496 106.762354)
+        (xy 124.505647 106.75)
+        (xy 124.485323 106.608082)
+        (xy 124.425984 106.477572)
+        (xy 124.3324 106.368963)
+        (xy 124.212095 106.290985)
+        (xy 124.074739 106.249907)
+        (xy 123.991497 106.249398)
+        (xy 123.938427 106.249074)
+        (xy 123.938426 106.249074)
+        (xy 123.931376 106.249031)
+        (xy 123.924599 106.250968)
+        (xy 123.924598 106.250968)
+        (xy 123.800309 106.28649)
+        (xy 123.800307 106.286491)
+        (xy 123.793529 106.288428)
+        (xy 123.67228 106.36493)
+        (xy 123.667613 106.370214)
+        (xy 123.667611 106.370216)
+        (xy 123.582044 106.467103)
+        (xy 123.582042 106.467105)
+        (xy 123.577377 106.472388)
+        (xy 123.516447 106.602163)
+        (xy 123.494391 106.743823)
+        (xy 118.504762 106.743823)
+        (xy 118.485323 106.608082)
+        (xy 118.425984 106.477572)
+        (xy 118.3324 106.368963)
+        (xy 118.212095 106.290985)
+        (xy 118.074739 106.249907)
+        (xy 117.991497 106.249398)
+        (xy 117.938427 106.249074)
+        (xy 117.938426 106.249074)
+        (xy 117.931376 106.249031)
+        (xy 117.924599 106.250968)
+        (xy 117.924598 106.250968)
+        (xy 117.800309 106.28649)
+        (xy 117.800307 106.286491)
+        (xy 117.793529 106.288428)
+        (xy 117.67228 106.36493)
+        (xy 117.667613 106.370214)
+        (xy 117.667611 106.370216)
+        (xy 117.582044 106.467103)
+        (xy 117.582042 106.467105)
+        (xy 117.577377 106.472388)
+        (xy 117.516447 106.602163)
+        (xy 117.494391 106.743823)
+        (xy 112.504762 106.743823)
+        (xy 112.485323 106.608082)
+        (xy 112.425984 106.477572)
+        (xy 112.3324 106.368963)
+        (xy 112.212095 106.290985)
+        (xy 112.074739 106.249907)
+        (xy 111.991497 106.249398)
+        (xy 111.938427 106.249074)
+        (xy 111.938426 106.249074)
+        (xy 111.931376 106.249031)
+        (xy 111.924599 106.250968)
+        (xy 111.924598 106.250968)
+        (xy 111.800309 106.28649)
+        (xy 111.800307 106.286491)
+        (xy 111.793529 106.288428)
+        (xy 111.67228 106.36493)
+        (xy 111.667613 106.370214)
+        (xy 111.667611 106.370216)
+        (xy 111.582044 106.467103)
+        (xy 111.582042 106.467105)
+        (xy 111.577377 106.472388)
+        (xy 111.516447 106.602163)
+        (xy 111.494391 106.743823)
+        (xy 96.7 106.743823)
+        (xy 96.7 103.785262)
+        (xy 97.69452 103.785262)
+        (xy 97.711759 103.990553)
+        (xy 97.768544 104.188586)
+        (xy 97.862712 104.371818)
+        (xy 97.990677 104.53327)
+        (xy 97.994357 104.536402)
+        (xy 97.994359 104.536404)
+        (xy 98.107017 104.632283)
+        (xy 98.147564 104.666791)
+        (xy 98.151787 104.669151)
+        (xy 98.151791 104.669154)
+        (xy 98.191342 104.691258)
+        (xy 98.327398 104.767297)
+        (xy 98.331996 104.768791)
+        (xy 98.518724 104.829463)
+        (xy 98.518726 104.829464)
+        (xy 98.523329 104.830959)
+        (xy 98.727894 104.855351)
+        (xy 98.732716 104.85498)
+        (xy 98.732719 104.85498)
+        (xy 98.803259 104.849552)
+        (xy 98.9333 104.839546)
+        (xy 99.131725 104.784145)
+        (xy 99.136038 104.781966)
+        (xy 99.136044 104.781964)
+        (xy 99.311289 104.693441)
+        (xy 99.311291 104.69344)
+        (xy 99.31561 104.691258)
+        (xy 99.343142 104.669748)
+        (xy 100.2395 104.669748)
+        (xy 100.251133 104.728231)
+        (xy 100.295448 104.794552)
+        (xy 100.361769 104.838867)
+        (xy 100.371332 104.840769)
+        (xy 100.371334 104.84077)
+        (xy 100.394005 104.845279)
+        (xy 100.420252 104.8505)
+        (xy 102.159748 104.8505)
+        (xy 102.185995 104.845279)
+        (xy 102.208666 104.84077)
+        (xy 102.208668 104.840769)
+        (xy 102.218231 104.838867)
+        (xy 102.284552 104.794552)
+        (xy 102.328867 104.728231)
+        (xy 102.3405 104.669748)
+        (xy 102.3405 102.930252)
+        (xy 102.328867 102.871769)
+        (xy 102.284552 102.805448)
+        (xy 102.218231 102.761133)
+        (xy 102.208668 102.759231)
+        (xy 102.208666 102.75923)
+        (xy 102.185995 102.754721)
+        (xy 102.159748 102.7495)
+        (xy 100.420252 102.7495)
+        (xy 100.394005 102.754721)
+        (xy 100.371334 102.75923)
+        (xy 100.371332 102.759231)
+        (xy 100.361769 102.761133)
+        (xy 100.295448 102.805448)
+        (xy 100.251133 102.871769)
+        (xy 100.2395 102.930252)
+        (xy 100.2395 104.669748)
+        (xy 99.343142 104.669748)
+        (xy 99.350943 104.663653)
+        (xy 99.474135 104.567406)
+        (xy 99.474139 104.567402)
+        (xy 99.477951 104.564424)
+        (xy 99.612564 104.408472)
+        (xy 99.631231 104.375613)
+        (xy 99.711934 104.23355)
+        (xy 99.711935 104.233547)
+        (xy 99.714323 104.229344)
+        (xy 99.727882 104.188586)
+        (xy 99.777824 104.038454)
+        (xy 99.777824 104.038452)
+        (xy 99.779351 104.033863)
+        (xy 99.805171 103.829474)
+        (xy 99.805583 103.8)
+        (xy 99.78548 103.59497)
+        (xy 99.725935 103.397749)
+        (xy 99.629218 103.215849)
+        (xy 99.499011 103.0562)
+        (xy 99.352639 102.93511)
+        (xy 99.344002 102.927965)
+        (xy 99.344 102.927964)
+        (xy 99.340275 102.924882)
+        (xy 99.159055 102.826897)
+        (xy 99.089764 102.805448)
+        (xy 98.966875 102.767407)
+        (xy 98.966871 102.767406)
+        (xy 98.962254 102.765977)
+        (xy 98.957446 102.765472)
+        (xy 98.957443 102.765471)
+        (xy 98.762185 102.744949)
+        (xy 98.762183 102.744949)
+        (xy 98.757369 102.744443)
+        (xy 98.7018 102.7495)
+        (xy 98.557022 102.762675)
+        (xy 98.557017 102.762676)
+        (xy 98.552203 102.763114)
+        (xy 98.354572 102.82128)
+        (xy 98.350288 102.823519)
+        (xy 98.350287 102.82352)
+        (xy 98.339428 102.829197)
+        (xy 98.172002 102.916726)
+        (xy 98.168231 102.919758)
+        (xy 98.01522 103.042781)
+        (xy 98.015217 103.042783)
+        (xy 98.011447 103.045815)
+        (xy 98.008333 103.049526)
+        (xy 98.008332 103.049527)
+        (xy 97.999585 103.059952)
+        (xy 97.879024 103.20363)
+        (xy 97.876689 103.207878)
+        (xy 97.876688 103.207879)
+        (xy 97.869955 103.220126)
+        (xy 97.779776 103.384162)
+        (xy 97.717484 103.580532)
+        (xy 97.716944 103.585344)
+        (xy 97.716944 103.585345)
+        (xy 97.715865 103.59497)
+        (xy 97.69452 103.785262)
+        (xy 96.7 103.785262)
+        (xy 96.7 101.245262)
+        (xy 97.69452 101.245262)
+        (xy 97.711759 101.450553)
+        (xy 97.768544 101.648586)
+        (xy 97.862712 101.831818)
+        (xy 97.990677 101.99327)
+        (xy 97.994357 101.996402)
+        (xy 97.994359 101.996404)
+        (xy 98.107017 102.092283)
+        (xy 98.147564 102.126791)
+        (xy 98.151787 102.129151)
+        (xy 98.151791 102.129154)
+        (xy 98.191342 102.151258)
+        (xy 98.327398 102.227297)
+        (xy 98.331996 102.228791)
+        (xy 98.518724 102.289463)
+        (xy 98.518726 102.289464)
+        (xy 98.523329 102.290959)
+        (xy 98.727894 102.315351)
+        (xy 98.732716 102.31498)
+        (xy 98.732719 102.31498)
+        (xy 98.800541 102.309761)
+        (xy 98.9333 102.299546)
+        (xy 99.131725 102.244145)
+        (xy 99.136038 102.241966)
+        (xy 99.136044 102.241964)
+        (xy 99.311289 102.153441)
+        (xy 99.311291 102.15344)
+        (xy 99.31561 102.151258)
+        (xy 99.350943 102.123653)
+        (xy 99.474135 102.027406)
+        (xy 99.474139 102.027402)
+        (xy 99.477951 102.024424)
+        (xy 99.612564 101.868472)
+        (xy 99.631231 101.835613)
+        (xy 99.711934 101.69355)
+        (xy 99.711935 101.693547)
+        (xy 99.714323 101.689344)
+        (xy 99.727882 101.648586)
+        (xy 99.777824 101.498454)
+        (xy 99.777824 101.498452)
+        (xy 99.779351 101.493863)
+        (xy 99.805171 101.289474)
+        (xy 99.805583 101.26)
+        (xy 99.804138 101.245262)
+        (xy 100.23452 101.245262)
+        (xy 100.251759 101.450553)
+        (xy 100.308544 101.648586)
+        (xy 100.402712 101.831818)
+        (xy 100.530677 101.99327)
+        (xy 100.534357 101.996402)
+        (xy 100.534359 101.996404)
+        (xy 100.647017 102.092283)
+        (xy 100.687564 102.126791)
+        (xy 100.691787 102.129151)
+        (xy 100.691791 102.129154)
+        (xy 100.731342 102.151258)
+        (xy 100.867398 102.227297)
+        (xy 100.871996 102.228791)
+        (xy 101.058724 102.289463)
+        (xy 101.058726 102.289464)
+        (xy 101.063329 102.290959)
+        (xy 101.267894 102.315351)
+        (xy 101.272716 102.31498)
+        (xy 101.272719 102.31498)
+        (xy 101.340541 102.309761)
+        (xy 101.4733 102.299546)
+        (xy 101.671725 102.244145)
+        (xy 101.676038 102.241966)
+        (xy 101.676044 102.241964)
+        (xy 101.851289 102.153441)
+        (xy 101.851291 102.15344)
+        (xy 101.85561 102.151258)
+        (xy 101.890943 102.123653)
+        (xy 102.014135 102.027406)
+        (xy 102.014139 102.027402)
+        (xy 102.017951 102.024424)
+        (xy 102.152564 101.868472)
+        (xy 102.171231 101.835613)
+        (xy 102.251934 101.69355)
+        (xy 102.251935 101.693547)
+        (xy 102.254323 101.689344)
+        (xy 102.267882 101.648586)
+        (xy 102.317824 101.498454)
+        (xy 102.317824 101.498452)
+        (xy 102.319351 101.493863)
+        (xy 102.345171 101.289474)
+        (xy 102.345583 101.26)
+        (xy 102.32548 101.05497)
+        (xy 102.265935 100.857749)
+        (xy 102.169218 100.675849)
+        (xy 102.039011 100.5162)
+        (xy 101.880275 100.384882)
+        (xy 101.699055 100.286897)
+        (xy 101.635855 100.267333)
+        (xy 101.506875 100.227407)
+        (xy 101.506871 100.227406)
+        (xy 101.502254 100.225977)
+        (xy 101.497446 100.225472)
+        (xy 101.497443 100.225471)
+        (xy 101.302185 100.204949)
+        (xy 101.302183 100.204949)
+        (xy 101.297369 100.204443)
+        (xy 101.237354 100.209905)
+        (xy 101.097022 100.222675)
+        (xy 101.097017 100.222676)
+        (xy 101.092203 100.223114)
+        (xy 100.894572 100.28128)
+        (xy 100.890288 100.283519)
+        (xy 100.890287 100.28352)
+        (xy 100.879428 100.289197)
+        (xy 100.712002 100.376726)
+        (xy 100.708231 100.379758)
+        (xy 100.55522 100.502781)
+        (xy 100.555217 100.502783)
+        (xy 100.551447 100.505815)
+        (xy 100.548333 100.509526)
+        (xy 100.548332 100.509527)
+        (xy 100.539585 100.519952)
+        (xy 100.419024 100.66363)
+        (xy 100.416689 100.667878)
+        (xy 100.416688 100.667879)
+        (xy 100.409955 100.680126)
+        (xy 100.319776 100.844162)
+        (xy 100.257484 101.040532)
+        (xy 100.256944 101.045344)
+        (xy 100.256944 101.045345)
+        (xy 100.255865 101.05497)
+        (xy 100.23452 101.245262)
+        (xy 99.804138 101.245262)
+        (xy 99.78548 101.05497)
+        (xy 99.725935 100.857749)
+        (xy 99.629218 100.675849)
+        (xy 99.499011 100.5162)
+        (xy 99.340275 100.384882)
+        (xy 99.159055 100.286897)
+        (xy 99.095855 100.267333)
+        (xy 98.966875 100.227407)
+        (xy 98.966871 100.227406)
+        (xy 98.962254 100.225977)
+        (xy 98.957446 100.225472)
+        (xy 98.957443 100.225471)
+        (xy 98.762185 100.204949)
+        (xy 98.762183 100.204949)
+        (xy 98.757369 100.204443)
+        (xy 98.697354 100.209905)
+        (xy 98.557022 100.222675)
+        (xy 98.557017 100.222676)
+        (xy 98.552203 100.223114)
+        (xy 98.354572 100.28128)
+        (xy 98.350288 100.283519)
+        (xy 98.350287 100.28352)
+        (xy 98.339428 100.289197)
+        (xy 98.172002 100.376726)
+        (xy 98.168231 100.379758)
+        (xy 98.01522 100.502781)
+        (xy 98.015217 100.502783)
+        (xy 98.011447 100.505815)
+        (xy 98.008333 100.509526)
+        (xy 98.008332 100.509527)
+        (xy 97.999585 100.519952)
+        (xy 97.879024 100.66363)
+        (xy 97.876689 100.667878)
+        (xy 97.876688 100.667879)
+        (xy 97.869955 100.680126)
+        (xy 97.779776 100.844162)
+        (xy 97.717484 101.040532)
+        (xy 97.716944 101.045344)
+        (xy 97.716944 101.045345)
+        (xy 97.715865 101.05497)
+        (xy 97.69452 101.245262)
+        (xy 96.7 101.245262)
+        (xy 96.7 98.705262)
+        (xy 97.69452 98.705262)
+        (xy 97.711759 98.910553)
+        (xy 97.768544 99.108586)
+        (xy 97.862712 99.291818)
+        (xy 97.990677 99.45327)
+        (xy 97.994357 99.456402)
+        (xy 97.994359 99.456404)
+        (xy 98.107017 99.552283)
+        (xy 98.147564 99.586791)
+        (xy 98.151787 99.589151)
+        (xy 98.151791 99.589154)
+        (xy 98.191342 99.611258)
+        (xy 98.327398 99.687297)
+        (xy 98.331996 99.688791)
+        (xy 98.518724 99.749463)
+        (xy 98.518726 99.749464)
+        (xy 98.523329 99.750959)
+        (xy 98.727894 99.775351)
+        (xy 98.732716 99.77498)
+        (xy 98.732719 99.77498)
+        (xy 98.800541 99.769761)
+        (xy 98.9333 99.759546)
+        (xy 99.131725 99.704145)
+        (xy 99.136038 99.701966)
+        (xy 99.136044 99.701964)
+        (xy 99.311289 99.613441)
+        (xy 99.311291 99.61344)
+        (xy 99.31561 99.611258)
+        (xy 99.350943 99.583653)
+        (xy 99.474135 99.487406)
+        (xy 99.474139 99.487402)
+        (xy 99.477951 99.484424)
+        (xy 99.612564 99.328472)
+        (xy 99.631231 99.295613)
+        (xy 99.711934 99.15355)
+        (xy 99.711935 99.153547)
+        (xy 99.714323 99.149344)
+        (xy 99.727882 99.108586)
+        (xy 99.777824 98.958454)
+        (xy 99.777824 98.958452)
+        (xy 99.779351 98.953863)
+        (xy 99.805171 98.749474)
+        (xy 99.805583 98.72)
+        (xy 99.804138 98.705262)
+        (xy 100.23452 98.705262)
+        (xy 100.251759 98.910553)
+        (xy 100.308544 99.108586)
+        (xy 100.402712 99.291818)
+        (xy 100.530677 99.45327)
+        (xy 100.534357 99.456402)
+        (xy 100.534359 99.456404)
+        (xy 100.647017 99.552283)
+        (xy 100.687564 99.586791)
+        (xy 100.691787 99.589151)
+        (xy 100.691791 99.589154)
+        (xy 100.731342 99.611258)
+        (xy 100.867398 99.687297)
+        (xy 100.871996 99.688791)
+        (xy 101.058724 99.749463)
+        (xy 101.058726 99.749464)
+        (xy 101.063329 99.750959)
+        (xy 101.267894 99.775351)
+        (xy 101.272716 99.77498)
+        (xy 101.272719 99.77498)
+        (xy 101.340541 99.769761)
+        (xy 101.4733 99.759546)
+        (xy 101.671725 99.704145)
+        (xy 101.676038 99.701966)
+        (xy 101.676044 99.701964)
+        (xy 101.851289 99.613441)
+        (xy 101.851291 99.61344)
+        (xy 101.85561 99.611258)
+        (xy 101.890943 99.583653)
+        (xy 102.014135 99.487406)
+        (xy 102.014139 99.487402)
+        (xy 102.017951 99.484424)
+        (xy 102.152564 99.328472)
+        (xy 102.171231 99.295613)
+        (xy 102.251934 99.15355)
+        (xy 102.251935 99.153547)
+        (xy 102.254323 99.149344)
+        (xy 102.267882 99.108586)
+        (xy 102.317824 98.958454)
+        (xy 102.317824 98.958452)
+        (xy 102.319351 98.953863)
+        (xy 102.345171 98.749474)
+        (xy 102.345583 98.72)
+        (xy 102.32548 98.51497)
+        (xy 102.265935 98.317749)
+        (xy 102.169218 98.135849)
+        (xy 102.039011 97.9762)
+        (xy 101.880275 97.844882)
+        (xy 101.699055 97.746897)
+        (xy 101.635855 97.727333)
+        (xy 101.506875 97.687407)
+        (xy 101.506871 97.687406)
+        (xy 101.502254 97.685977)
+        (xy 101.497446 97.685472)
+        (xy 101.497443 97.685471)
+        (xy 101.302185 97.664949)
+        (xy 101.302183 97.664949)
+        (xy 101.297369 97.664443)
+        (xy 101.237354 97.669905)
+        (xy 101.097022 97.682675)
+        (xy 101.097017 97.682676)
+        (xy 101.092203 97.683114)
+        (xy 100.894572 97.74128)
+        (xy 100.890288 97.743519)
+        (xy 100.890287 97.74352)
+        (xy 100.879428 97.749197)
+        (xy 100.712002 97.836726)
+        (xy 100.708231 97.839758)
+        (xy 100.55522 97.962781)
+        (xy 100.555217 97.962783)
+        (xy 100.551447 97.965815)
+        (xy 100.548333 97.969526)
+        (xy 100.548332 97.969527)
+        (xy 100.539585 97.979952)
+        (xy 100.419024 98.12363)
+        (xy 100.416689 98.127878)
+        (xy 100.416688 98.127879)
+        (xy 100.409955 98.140126)
+        (xy 100.319776 98.304162)
+        (xy 100.257484 98.500532)
+        (xy 100.256944 98.505344)
+        (xy 100.256944 98.505345)
+        (xy 100.255865 98.51497)
+        (xy 100.23452 98.705262)
+        (xy 99.804138 98.705262)
+        (xy 99.78548 98.51497)
+        (xy 99.725935 98.317749)
+        (xy 99.629218 98.135849)
+        (xy 99.499011 97.9762)
+        (xy 99.340275 97.844882)
+        (xy 99.159055 97.746897)
+        (xy 99.095855 97.727333)
+        (xy 98.966875 97.687407)
+        (xy 98.966871 97.687406)
+        (xy 98.962254 97.685977)
+        (xy 98.957446 97.685472)
+        (xy 98.957443 97.685471)
+        (xy 98.762185 97.664949)
+        (xy 98.762183 97.664949)
+        (xy 98.757369 97.664443)
+        (xy 98.697354 97.669905)
+        (xy 98.557022 97.682675)
+        (xy 98.557017 97.682676)
+        (xy 98.552203 97.683114)
+        (xy 98.354572 97.74128)
+        (xy 98.350288 97.743519)
+        (xy 98.350287 97.74352)
+        (xy 98.339428 97.749197)
+        (xy 98.172002 97.836726)
+        (xy 98.168231 97.839758)
+        (xy 98.01522 97.962781)
+        (xy 98.015217 97.962783)
+        (xy 98.011447 97.965815)
+        (xy 98.008333 97.969526)
+        (xy 98.008332 97.969527)
+        (xy 97.999585 97.979952)
+        (xy 97.879024 98.12363)
+        (xy 97.876689 98.127878)
+        (xy 97.876688 98.127879)
+        (xy 97.869955 98.140126)
+        (xy 97.779776 98.304162)
+        (xy 97.717484 98.500532)
+        (xy 97.716944 98.505344)
+        (xy 97.716944 98.505345)
+        (xy 97.715865 98.51497)
+        (xy 97.69452 98.705262)
+        (xy 96.7 98.705262)
+        (xy 96.7 96.165262)
+        (xy 100.23452 96.165262)
+        (xy 100.251759 96.370553)
+        (xy 100.253092 96.375201)
+        (xy 100.253092 96.375202)
+        (xy 100.30063 96.540985)
+        (xy 100.308544 96.568586)
+        (xy 100.402712 96.751818)
+        (xy 100.530677 96.91327)
+        (xy 100.534357 96.916402)
+        (xy 100.534359 96.916404)
+        (xy 100.617132 96.986849)
+        (xy 100.687564 97.046791)
+        (xy 100.691787 97.049151)
+        (xy 100.691791 97.049154)
+        (xy 100.731342 97.071258)
+        (xy 100.867398 97.147297)
+        (xy 100.871996 97.148791)
+        (xy 101.058724 97.209463)
+        (xy 101.058726 97.209464)
+        (xy 101.063329 97.210959)
+        (xy 101.267894 97.235351)
+        (xy 101.272716 97.23498)
+        (xy 101.272719 97.23498)
+        (xy 101.340541 97.229761)
+        (xy 101.4733 97.219546)
+        (xy 101.671725 97.164145)
+        (xy 101.676038 97.161966)
+        (xy 101.676044 97.161964)
+        (xy 101.851289 97.073441)
+        (xy 101.851291 97.07344)
+        (xy 101.85561 97.071258)
+        (xy 101.926179 97.016124)
+        (xy 101.954723 96.993823)
+        (xy 108.244391 96.993823)
+        (xy 108.245306 97.00082)
+        (xy 108.245306 97.000821)
+        (xy 108.246814 97.012354)
+        (xy 108.26298 97.135979)
+        (xy 108.265821 97.142435)
+        (xy 108.265821 97.142436)
+        (xy 108.306542 97.23498)
+        (xy 108.32072 97.267203)
+        (xy 108.333792 97.282754)
+        (xy 108.408431 97.371549)
+        (xy 108.408434 97.371551)
+        (xy 108.41297 97.376948)
+        (xy 108.418841 97.380856)
+        (xy 108.418842 97.380857)
+        (xy 108.423286 97.383815)
+        (xy 108.532313 97.45639)
+        (xy 108.608443 97.480174)
+        (xy 108.662425 97.497039)
+        (xy 108.662426 97.497039)
+        (xy 108.669157 97.499142)
+        (xy 108.740828 97.500456)
+        (xy 108.805445 97.501641)
+        (xy 108.805447 97.501641)
+        (xy 108.812499 97.50177)
+        (xy 108.819302 97.499915)
+        (xy 108.819304 97.499915)
+        (xy 108.894503 97.479413)
+        (xy 108.950817 97.46406)
+        (xy 109.072991 97.389045)
+        (xy 109.087646 97.372855)
+        (xy 109.140713 97.3424)
+        (xy 109.201546 97.348954)
+        (xy 109.226995 97.368167)
+        (xy 109.228174 97.366848)
+        (xy 109.233432 97.371549)
+        (xy 109.23797 97.376948)
+        (xy 109.243841 97.380856)
+        (xy 109.243842 97.380857)
+        (xy 109.248286 97.383815)
+        (xy 109.357313 97.45639)
+        (xy 109.433443 97.480174)
+        (xy 109.487425 97.497039)
+        (xy 109.487426 97.497039)
+        (xy 109.494157 97.499142)
+        (xy 109.565828 97.500456)
+        (xy 109.630445 97.501641)
+        (xy 109.630447 97.501641)
+        (xy 109.637499 97.50177)
+        (xy 109.644302 97.499915)
+        (xy 109.644304 97.499915)
+        (xy 109.719503 97.479413)
+        (xy 109.775817 97.46406)
+        (xy 109.897991 97.389045)
+        (xy 109.905403 97.380857)
+        (xy 109.989468 97.287982)
+        (xy 109.9942 97.282754)
+        (xy 110.05671 97.153733)
+        (xy 110.060875 97.128982)
+        (xy 110.079862 97.016124)
+        (xy 110.079862 97.01612)
+        (xy 110.080496 97.012354)
+        (xy 110.080647 97)
+        (xy 110.079762 96.993823)
+        (xy 110.419391 96.993823)
+        (xy 110.420306 97.00082)
+        (xy 110.420306 97.000821)
+        (xy 110.421814 97.012354)
+        (xy 110.43798 97.135979)
+        (xy 110.440821 97.142435)
+        (xy 110.440821 97.142436)
+        (xy 110.481542 97.23498)
+        (xy 110.49572 97.267203)
+        (xy 110.508792 97.282754)
+        (xy 110.583431 97.371549)
+        (xy 110.583434 97.371551)
+        (xy 110.58797 97.376948)
+        (xy 110.593841 97.380856)
+        (xy 110.593842 97.380857)
+        (xy 110.598286 97.383815)
+        (xy 110.707313 97.45639)
+        (xy 110.783443 97.480174)
+        (xy 110.837425 97.497039)
+        (xy 110.837426 97.497039)
+        (xy 110.844157 97.499142)
+        (xy 110.915828 97.500456)
+        (xy 110.980445 97.501641)
+        (xy 110.980447 97.501641)
+        (xy 110.987499 97.50177)
+        (xy 110.994302 97.499915)
+        (xy 110.994304 97.499915)
+        (xy 111.069503 97.479413)
+        (xy 111.125817 97.46406)
+        (xy 111.247991 97.389045)
+        (xy 111.255403 97.380857)
+        (xy 111.339468 97.287982)
+        (xy 111.3442 97.282754)
+        (xy 111.40671 97.153733)
+        (xy 111.410875 97.128982)
+        (xy 111.429862 97.016124)
+        (xy 111.429862 97.01612)
+        (xy 111.430496 97.012354)
+        (xy 111.430647 97)
+        (xy 111.429762 96.993823)
+        (xy 112.069391 96.993823)
+        (xy 112.070306 97.00082)
+        (xy 112.070306 97.000821)
+        (xy 112.071814 97.012354)
+        (xy 112.08798 97.135979)
+        (xy 112.090821 97.142435)
+        (xy 112.090821 97.142436)
+        (xy 112.131542 97.23498)
+        (xy 112.14572 97.267203)
+        (xy 112.158792 97.282754)
+        (xy 112.233431 97.371549)
+        (xy 112.233434 97.371551)
+        (xy 112.23797 97.376948)
+        (xy 112.243841 97.380856)
+        (xy 112.243842 97.380857)
+        (xy 112.248286 97.383815)
+        (xy 112.357313 97.45639)
+        (xy 112.433443 97.480174)
+        (xy 112.487425 97.497039)
+        (xy 112.487426 97.497039)
+        (xy 112.494157 97.499142)
+        (xy 112.565828 97.500456)
+        (xy 112.630445 97.501641)
+        (xy 112.630447 97.501641)
+        (xy 112.637499 97.50177)
+        (xy 112.644302 97.499915)
+        (xy 112.644304 97.499915)
+        (xy 112.719503 97.479413)
+        (xy 112.775817 97.46406)
+        (xy 112.897991 97.389045)
+        (xy 112.905403 97.380857)
+        (xy 112.989468 97.287982)
+        (xy 112.9942 97.282754)
+        (xy 113.05671 97.153733)
+        (xy 113.060875 97.128982)
+        (xy 113.079862 97.016124)
+        (xy 113.079862 97.01612)
+        (xy 113.080496 97.012354)
+        (xy 113.080647 97)
+        (xy 113.079762 96.993823)
+        (xy 114.244391 96.993823)
+        (xy 114.245306 97.00082)
+        (xy 114.245306 97.000821)
+        (xy 114.246814 97.012354)
+        (xy 114.26298 97.135979)
+        (xy 114.265821 97.142435)
+        (xy 114.265821 97.142436)
+        (xy 114.306542 97.23498)
+        (xy 114.32072 97.267203)
+        (xy 114.333792 97.282754)
+        (xy 114.408431 97.371549)
+        (xy 114.408434 97.371551)
+        (xy 114.41297 97.376948)
+        (xy 114.418841 97.380856)
+        (xy 114.418842 97.380857)
+        (xy 114.423286 97.383815)
+        (xy 114.532313 97.45639)
+        (xy 114.608443 97.480174)
+        (xy 114.662425 97.497039)
+        (xy 114.662426 97.497039)
+        (xy 114.669157 97.499142)
+        (xy 114.740828 97.500456)
+        (xy 114.805445 97.501641)
+        (xy 114.805447 97.501641)
+        (xy 114.812499 97.50177)
+        (xy 114.819302 97.499915)
+        (xy 114.819304 97.499915)
+        (xy 114.894503 97.479413)
+        (xy 114.950817 97.46406)
+        (xy 115.072991 97.389045)
+        (xy 115.087646 97.372855)
+        (xy 115.140713 97.3424)
+        (xy 115.201546 97.348954)
+        (xy 115.226995 97.368167)
+        (xy 115.228174 97.366848)
+        (xy 115.233432 97.371549)
+        (xy 115.23797 97.376948)
+        (xy 115.243841 97.380856)
+        (xy 115.243842 97.380857)
+        (xy 115.248286 97.383815)
+        (xy 115.357313 97.45639)
+        (xy 115.433443 97.480174)
+        (xy 115.487425 97.497039)
+        (xy 115.487426 97.497039)
+        (xy 115.494157 97.499142)
+        (xy 115.565828 97.500456)
+        (xy 115.630445 97.501641)
+        (xy 115.630447 97.501641)
+        (xy 115.637499 97.50177)
+        (xy 115.644302 97.499915)
+        (xy 115.644304 97.499915)
+        (xy 115.719503 97.479413)
+        (xy 115.775817 97.46406)
+        (xy 115.897991 97.389045)
+        (xy 115.905403 97.380857)
+        (xy 115.989468 97.287982)
+        (xy 115.9942 97.282754)
+        (xy 116.05671 97.153733)
+        (xy 116.060875 97.128982)
+        (xy 116.079862 97.016124)
+        (xy 116.079862 97.01612)
+        (xy 116.080496 97.012354)
+        (xy 116.080647 97)
+        (xy 116.079762 96.993823)
+        (xy 116.419391 96.993823)
+        (xy 116.420306 97.00082)
+        (xy 116.420306 97.000821)
+        (xy 116.421814 97.012354)
+        (xy 116.43798 97.135979)
+        (xy 116.440821 97.142435)
+        (xy 116.440821 97.142436)
+        (xy 116.481542 97.23498)
+        (xy 116.49572 97.267203)
+        (xy 116.508792 97.282754)
+        (xy 116.583431 97.371549)
+        (xy 116.583434 97.371551)
+        (xy 116.58797 97.376948)
+        (xy 116.593841 97.380856)
+        (xy 116.593842 97.380857)
+        (xy 116.598286 97.383815)
+        (xy 116.707313 97.45639)
+        (xy 116.783443 97.480174)
+        (xy 116.837425 97.497039)
+        (xy 116.837426 97.497039)
+        (xy 116.844157 97.499142)
+        (xy 116.915828 97.500456)
+        (xy 116.980445 97.501641)
+        (xy 116.980447 97.501641)
+        (xy 116.987499 97.50177)
+        (xy 116.994302 97.499915)
+        (xy 116.994304 97.499915)
+        (xy 117.069503 97.479413)
+        (xy 117.125817 97.46406)
+        (xy 117.247991 97.389045)
+        (xy 117.255403 97.380857)
+        (xy 117.339468 97.287982)
+        (xy 117.3442 97.282754)
+        (xy 117.40671 97.153733)
+        (xy 117.410875 97.128982)
+        (xy 117.429862 97.016124)
+        (xy 117.429862 97.01612)
+        (xy 117.430496 97.012354)
+        (xy 117.430647 97)
+        (xy 117.429762 96.993823)
+        (xy 118.069391 96.993823)
+        (xy 118.070306 97.00082)
+        (xy 118.070306 97.000821)
+        (xy 118.071814 97.012354)
+        (xy 118.08798 97.135979)
+        (xy 118.090821 97.142435)
+        (xy 118.090821 97.142436)
+        (xy 118.131542 97.23498)
+        (xy 118.14572 97.267203)
+        (xy 118.158792 97.282754)
+        (xy 118.233431 97.371549)
+        (xy 118.233434 97.371551)
+        (xy 118.23797 97.376948)
+        (xy 118.243841 97.380856)
+        (xy 118.243842 97.380857)
+        (xy 118.248286 97.383815)
+        (xy 118.357313 97.45639)
+        (xy 118.433443 97.480174)
+        (xy 118.487425 97.497039)
+        (xy 118.487426 97.497039)
+        (xy 118.494157 97.499142)
+        (xy 118.565828 97.500456)
+        (xy 118.630445 97.501641)
+        (xy 118.630447 97.501641)
+        (xy 118.637499 97.50177)
+        (xy 118.644302 97.499915)
+        (xy 118.644304 97.499915)
+        (xy 118.719503 97.479413)
+        (xy 118.775817 97.46406)
+        (xy 118.897991 97.389045)
+        (xy 118.905403 97.380857)
+        (xy 118.989468 97.287982)
+        (xy 118.9942 97.282754)
+        (xy 119.05671 97.153733)
+        (xy 119.060875 97.128982)
+        (xy 119.079862 97.016124)
+        (xy 119.079862 97.01612)
+        (xy 119.080496 97.012354)
+        (xy 119.080647 97)
+        (xy 119.079762 96.993823)
+        (xy 120.244391 96.993823)
+        (xy 120.245306 97.00082)
+        (xy 120.245306 97.000821)
+        (xy 120.246814 97.012354)
+        (xy 120.26298 97.135979)
+        (xy 120.265821 97.142435)
+        (xy 120.265821 97.142436)
+        (xy 120.306542 97.23498)
+        (xy 120.32072 97.267203)
+        (xy 120.333792 97.282754)
+        (xy 120.408431 97.371549)
+        (xy 120.408434 97.371551)
+        (xy 120.41297 97.376948)
+        (xy 120.418841 97.380856)
+        (xy 120.418842 97.380857)
+        (xy 120.423286 97.383815)
+        (xy 120.532313 97.45639)
+        (xy 120.608443 97.480174)
+        (xy 120.662425 97.497039)
+        (xy 120.662426 97.497039)
+        (xy 120.669157 97.499142)
+        (xy 120.740828 97.500456)
+        (xy 120.805445 97.501641)
+        (xy 120.805447 97.501641)
+        (xy 120.812499 97.50177)
+        (xy 120.819302 97.499915)
+        (xy 120.819304 97.499915)
+        (xy 120.894503 97.479413)
+        (xy 120.950817 97.46406)
+        (xy 121.072991 97.389045)
+        (xy 121.087646 97.372855)
+        (xy 121.140713 97.3424)
+        (xy 121.201546 97.348954)
+        (xy 121.226995 97.368167)
+        (xy 121.228174 97.366848)
+        (xy 121.233432 97.371549)
+        (xy 121.23797 97.376948)
+        (xy 121.243841 97.380856)
+        (xy 121.243842 97.380857)
+        (xy 121.248286 97.383815)
+        (xy 121.357313 97.45639)
+        (xy 121.433443 97.480174)
+        (xy 121.487425 97.497039)
+        (xy 121.487426 97.497039)
+        (xy 121.494157 97.499142)
+        (xy 121.565828 97.500456)
+        (xy 121.630445 97.501641)
+        (xy 121.630447 97.501641)
+        (xy 121.637499 97.50177)
+        (xy 121.644302 97.499915)
+        (xy 121.644304 97.499915)
+        (xy 121.719503 97.479413)
+        (xy 121.775817 97.46406)
+        (xy 121.897991 97.389045)
+        (xy 121.905403 97.380857)
+        (xy 121.989468 97.287982)
+        (xy 121.9942 97.282754)
+        (xy 122.05671 97.153733)
+        (xy 122.060875 97.128982)
+        (xy 122.079862 97.016124)
+        (xy 122.079862 97.01612)
+        (xy 122.080496 97.012354)
+        (xy 122.080647 97)
+        (xy 122.079762 96.993823)
+        (xy 122.419391 96.993823)
+        (xy 122.420306 97.00082)
+        (xy 122.420306 97.000821)
+        (xy 122.421814 97.012354)
+        (xy 122.43798 97.135979)
+        (xy 122.440821 97.142435)
+        (xy 122.440821 97.142436)
+        (xy 122.481542 97.23498)
+        (xy 122.49572 97.267203)
+        (xy 122.508792 97.282754)
+        (xy 122.583431 97.371549)
+        (xy 122.583434 97.371551)
+        (xy 122.58797 97.376948)
+        (xy 122.593841 97.380856)
+        (xy 122.593842 97.380857)
+        (xy 122.598286 97.383815)
+        (xy 122.707313 97.45639)
+        (xy 122.783443 97.480174)
+        (xy 122.837425 97.497039)
+        (xy 122.837426 97.497039)
+        (xy 122.844157 97.499142)
+        (xy 122.915828 97.500456)
+        (xy 122.980445 97.501641)
+        (xy 122.980447 97.501641)
+        (xy 122.987499 97.50177)
+        (xy 122.994302 97.499915)
+        (xy 122.994304 97.499915)
+        (xy 123.069503 97.479413)
+        (xy 123.125817 97.46406)
+        (xy 123.247991 97.389045)
+        (xy 123.255403 97.380857)
+        (xy 123.339468 97.287982)
+        (xy 123.3442 97.282754)
+        (xy 123.40671 97.153733)
+        (xy 123.410875 97.128982)
+        (xy 123.429862 97.016124)
+        (xy 123.429862 97.01612)
+        (xy 123.430496 97.012354)
+        (xy 123.430647 97)
+        (xy 123.410323 96.858082)
+        (xy 123.376758 96.78426)
+        (xy 123.353905 96.733996)
+        (xy 123.353904 96.733995)
+        (xy 123.350984 96.727572)
+        (xy 123.264297 96.626967)
+        (xy 123.262005 96.624307)
+        (xy 123.262004 96.624306)
+        (xy 123.2574 96.618963)
+        (xy 123.137095 96.540985)
+        (xy 122.999739 96.499907)
+        (xy 122.916497 96.499398)
+        (xy 122.863427 96.499074)
+        (xy 122.863426 96.499074)
+        (xy 122.856376 96.499031)
+        (xy 122.849599 96.500968)
+        (xy 122.849598 96.500968)
+        (xy 122.725309 96.53649)
+        (xy 122.725307 96.536491)
+        (xy 122.718529 96.538428)
+        (xy 122.59728 96.61493)
+        (xy 122.592613 96.620214)
+        (xy 122.592611 96.620216)
+        (xy 122.507044 96.717103)
+        (xy 122.507042 96.717105)
+        (xy 122.502377 96.722388)
+        (xy 122.441447 96.852163)
+        (xy 122.419391 96.993823)
+        (xy 122.079762 96.993823)
+        (xy 122.060323 96.858082)
+        (xy 122.026758 96.78426)
+        (xy 122.003905 96.733996)
+        (xy 122.003904 96.733995)
+        (xy 122.000984 96.727572)
+        (xy 121.914297 96.626967)
+        (xy 121.912005 96.624307)
+        (xy 121.912004 96.624306)
+        (xy 121.9074 96.618963)
+        (xy 121.787095 96.540985)
+        (xy 121.649739 96.499907)
+        (xy 121.566497 96.499398)
+        (xy 121.513427 96.499074)
+        (xy 121.513426 96.499074)
+        (xy 121.506376 96.499031)
+        (xy 121.499599 96.500968)
+        (xy 121.499598 96.500968)
+        (xy 121.375309 96.53649)
+        (xy 121.375307 96.536491)
+        (xy 121.368529 96.538428)
+        (xy 121.24728 96.61493)
+        (xy 121.242613 96.620214)
+        (xy 121.242611 96.620216)
+        (xy 121.237189 96.626356)
+        (xy 121.184497 96.657456)
+        (xy 121.123589 96.651646)
+        (xy 121.092485 96.628756)
+        (xy 121.092321 96.628944)
+        (xy 121.090055 96.626967)
+        (xy 121.087985 96.625444)
+        (xy 121.087006 96.624307)
+        (xy 121.087001 96.624303)
+        (xy 121.0824 96.618963)
+        (xy 120.962095 96.540985)
+        (xy 120.824739 96.499907)
+        (xy 120.741497 96.499398)
+        (xy 120.688427 96.499074)
+        (xy 120.688426 96.499074)
+        (xy 120.681376 96.499031)
+        (xy 120.674599 96.500968)
+        (xy 120.674598 96.500968)
+        (xy 120.550309 96.53649)
+        (xy 120.550307 96.536491)
+        (xy 120.543529 96.538428)
+        (xy 120.42228 96.61493)
+        (xy 120.417613 96.620214)
+        (xy 120.417611 96.620216)
+        (xy 120.332044 96.717103)
+        (xy 120.332042 96.717105)
+        (xy 120.327377 96.722388)
+        (xy 120.266447 96.852163)
+        (xy 120.244391 96.993823)
+        (xy 119.079762 96.993823)
+        (xy 119.060323 96.858082)
+        (xy 119.026758 96.78426)
+        (xy 119.003905 96.733996)
+        (xy 119.003904 96.733995)
+        (xy 119.000984 96.727572)
+        (xy 118.914297 96.626967)
+        (xy 118.912005 96.624307)
+        (xy 118.912004 96.624306)
+        (xy 118.9074 96.618963)
+        (xy 118.787095 96.540985)
+        (xy 118.649739 96.499907)
+        (xy 118.566497 96.499398)
+        (xy 118.513427 96.499074)
+        (xy 118.513426 96.499074)
+        (xy 118.506376 96.499031)
+        (xy 118.499599 96.500968)
+        (xy 118.499598 96.500968)
+        (xy 118.375309 96.53649)
+        (xy 118.375307 96.536491)
+        (xy 118.368529 96.538428)
+        (xy 118.24728 96.61493)
+        (xy 118.242613 96.620214)
+        (xy 118.242611 96.620216)
+        (xy 118.157044 96.717103)
+        (xy 118.157042 96.717105)
+        (xy 118.152377 96.722388)
+        (xy 118.091447 96.852163)
+        (xy 118.069391 96.993823)
+        (xy 117.429762 96.993823)
+        (xy 117.410323 96.858082)
+        (xy 117.376758 96.78426)
+        (xy 117.353905 96.733996)
+        (xy 117.353904 96.733995)
+        (xy 117.350984 96.727572)
+        (xy 117.264297 96.626967)
+        (xy 117.262005 96.624307)
+        (xy 117.262004 96.624306)
+        (xy 117.2574 96.618963)
+        (xy 117.137095 96.540985)
+        (xy 116.999739 96.499907)
+        (xy 116.916497 96.499398)
+        (xy 116.863427 96.499074)
+        (xy 116.863426 96.499074)
+        (xy 116.856376 96.499031)
+        (xy 116.849599 96.500968)
+        (xy 116.849598 96.500968)
+        (xy 116.725309 96.53649)
+        (xy 116.725307 96.536491)
+        (xy 116.718529 96.538428)
+        (xy 116.59728 96.61493)
+        (xy 116.592613 96.620214)
+        (xy 116.592611 96.620216)
+        (xy 116.507044 96.717103)
+        (xy 116.507042 96.717105)
+        (xy 116.502377 96.722388)
+        (xy 116.441447 96.852163)
+        (xy 116.419391 96.993823)
+        (xy 116.079762 96.993823)
+        (xy 116.060323 96.858082)
+        (xy 116.026758 96.78426)
+        (xy 116.003905 96.733996)
+        (xy 116.003904 96.733995)
+        (xy 116.000984 96.727572)
+        (xy 115.914297 96.626967)
+        (xy 115.912005 96.624307)
+        (xy 115.912004 96.624306)
+        (xy 115.9074 96.618963)
+        (xy 115.787095 96.540985)
+        (xy 115.649739 96.499907)
+        (xy 115.566497 96.499398)
+        (xy 115.513427 96.499074)
+        (xy 115.513426 96.499074)
+        (xy 115.506376 96.499031)
+        (xy 115.499599 96.500968)
+        (xy 115.499598 96.500968)
+        (xy 115.375309 96.53649)
+        (xy 115.375307 96.536491)
+        (xy 115.368529 96.538428)
+        (xy 115.24728 96.61493)
+        (xy 115.242613 96.620214)
+        (xy 115.242611 96.620216)
+        (xy 115.237189 96.626356)
+        (xy 115.184497 96.657456)
+        (xy 115.123589 96.651646)
+        (xy 115.092485 96.628756)
+        (xy 115.092321 96.628944)
+        (xy 115.090055 96.626967)
+        (xy 115.087985 96.625444)
+        (xy 115.087006 96.624307)
+        (xy 115.087001 96.624303)
+        (xy 115.0824 96.618963)
+        (xy 114.962095 96.540985)
+        (xy 114.824739 96.499907)
+        (xy 114.741497 96.499398)
+        (xy 114.688427 96.499074)
+        (xy 114.688426 96.499074)
+        (xy 114.681376 96.499031)
+        (xy 114.674599 96.500968)
+        (xy 114.674598 96.500968)
+        (xy 114.550309 96.53649)
+        (xy 114.550307 96.536491)
+        (xy 114.543529 96.538428)
+        (xy 114.42228 96.61493)
+        (xy 114.417613 96.620214)
+        (xy 114.417611 96.620216)
+        (xy 114.332044 96.717103)
+        (xy 114.332042 96.717105)
+        (xy 114.327377 96.722388)
+        (xy 114.266447 96.852163)
+        (xy 114.244391 96.993823)
+        (xy 113.079762 96.993823)
+        (xy 113.060323 96.858082)
+        (xy 113.026758 96.78426)
+        (xy 113.003905 96.733996)
+        (xy 113.003904 96.733995)
+        (xy 113.000984 96.727572)
+        (xy 112.914297 96.626967)
+        (xy 112.912005 96.624307)
+        (xy 112.912004 96.624306)
+        (xy 112.9074 96.618963)
+        (xy 112.787095 96.540985)
+        (xy 112.649739 96.499907)
+        (xy 112.566497 96.499398)
+        (xy 112.513427 96.499074)
+        (xy 112.513426 96.499074)
+        (xy 112.506376 96.499031)
+        (xy 112.499599 96.500968)
+        (xy 112.499598 96.500968)
+        (xy 112.375309 96.53649)
+        (xy 112.375307 96.536491)
+        (xy 112.368529 96.538428)
+        (xy 112.24728 96.61493)
+        (xy 112.242613 96.620214)
+        (xy 112.242611 96.620216)
+        (xy 112.157044 96.717103)
+        (xy 112.157042 96.717105)
+        (xy 112.152377 96.722388)
+        (xy 112.091447 96.852163)
+        (xy 112.069391 96.993823)
+        (xy 111.429762 96.993823)
+        (xy 111.410323 96.858082)
+        (xy 111.376758 96.78426)
+        (xy 111.353905 96.733996)
+        (xy 111.353904 96.733995)
+        (xy 111.350984 96.727572)
+        (xy 111.264297 96.626967)
+        (xy 111.262005 96.624307)
+        (xy 111.262004 96.624306)
+        (xy 111.2574 96.618963)
+        (xy 111.137095 96.540985)
+        (xy 110.999739 96.499907)
+        (xy 110.916497 96.499398)
+        (xy 110.863427 96.499074)
+        (xy 110.863426 96.499074)
+        (xy 110.856376 96.499031)
+        (xy 110.849599 96.500968)
+        (xy 110.849598 96.500968)
+        (xy 110.725309 96.53649)
+        (xy 110.725307 96.536491)
+        (xy 110.718529 96.538428)
+        (xy 110.59728 96.61493)
+        (xy 110.592613 96.620214)
+        (xy 110.592611 96.620216)
+        (xy 110.507044 96.717103)
+        (xy 110.507042 96.717105)
+        (xy 110.502377 96.722388)
+        (xy 110.441447 96.852163)
+        (xy 110.419391 96.993823)
+        (xy 110.079762 96.993823)
+        (xy 110.060323 96.858082)
+        (xy 110.026758 96.78426)
+        (xy 110.003905 96.733996)
+        (xy 110.003904 96.733995)
+        (xy 110.000984 96.727572)
+        (xy 109.914297 96.626967)
+        (xy 109.912005 96.624307)
+        (xy 109.912004 96.624306)
+        (xy 109.9074 96.618963)
+        (xy 109.787095 96.540985)
+        (xy 109.649739 96.499907)
+        (xy 109.566497 96.499398)
+        (xy 109.513427 96.499074)
+        (xy 109.513426 96.499074)
+        (xy 109.506376 96.499031)
+        (xy 109.499599 96.500968)
+        (xy 109.499598 96.500968)
+        (xy 109.375309 96.53649)
+        (xy 109.375307 96.536491)
+        (xy 109.368529 96.538428)
+        (xy 109.24728 96.61493)
+        (xy 109.242613 96.620214)
+        (xy 109.242611 96.620216)
+        (xy 109.237189 96.626356)
+        (xy 109.184497 96.657456)
+        (xy 109.123589 96.651646)
+        (xy 109.092485 96.628756)
+        (xy 109.092321 96.628944)
+        (xy 109.090055 96.626967)
+        (xy 109.087985 96.625444)
+        (xy 109.087006 96.624307)
+        (xy 109.087001 96.624303)
+        (xy 109.0824 96.618963)
+        (xy 108.962095 96.540985)
+        (xy 108.824739 96.499907)
+        (xy 108.741497 96.499398)
+        (xy 108.688427 96.499074)
+        (xy 108.688426 96.499074)
+        (xy 108.681376 96.499031)
+        (xy 108.674599 96.500968)
+        (xy 108.674598 96.500968)
+        (xy 108.550309 96.53649)
+        (xy 108.550307 96.536491)
+        (xy 108.543529 96.538428)
+        (xy 108.42228 96.61493)
+        (xy 108.417613 96.620214)
+        (xy 108.417611 96.620216)
+        (xy 108.332044 96.717103)
+        (xy 108.332042 96.717105)
+        (xy 108.327377 96.722388)
+        (xy 108.266447 96.852163)
+        (xy 108.244391 96.993823)
+        (xy 101.954723 96.993823)
+        (xy 102.014135 96.947406)
+        (xy 102.014139 96.947402)
+        (xy 102.017951 96.944424)
+        (xy 102.152564 96.788472)
+        (xy 102.171231 96.755613)
+        (xy 102.251934 96.61355)
+        (xy 102.251935 96.613547)
+        (xy 102.254323 96.609344)
+        (xy 102.267882 96.568586)
+        (xy 102.317824 96.418454)
+        (xy 102.317824 96.418452)
+        (xy 102.319351 96.413863)
+        (xy 102.325241 96.367243)
+        (xy 102.344823 96.212228)
+        (xy 102.345171 96.209474)
+        (xy 102.345583 96.18)
+        (xy 102.32548 95.97497)
+        (xy 102.265935 95.777749)
+        (xy 102.169218 95.595849)
+        (xy 102.039011 95.4362)
+        (xy 101.999765 95.403733)
+        (xy 101.884002 95.307965)
+        (xy 101.884 95.307964)
+        (xy 101.880275 95.304882)
+        (xy 101.699055 95.206897)
+        (xy 101.635855 95.187333)
+        (xy 101.506875 95.147407)
+        (xy 101.506871 95.147406)
+        (xy 101.502254 95.145977)
+        (xy 101.497446 95.145472)
+        (xy 101.497443 95.145471)
+        (xy 101.302185 95.124949)
+        (xy 101.302183 95.124949)
+        (xy 101.297369 95.124443)
+        (xy 101.237354 95.129905)
+        (xy 101.097022 95.142675)
+        (xy 101.097017 95.142676)
+        (xy 101.092203 95.143114)
+        (xy 100.894572 95.20128)
+        (xy 100.890288 95.203519)
+        (xy 100.890287 95.20352)
+        (xy 100.870126 95.21406)
+        (xy 100.712002 95.296726)
+        (xy 100.708231 95.299758)
+        (xy 100.55522 95.422781)
+        (xy 100.555217 95.422783)
+        (xy 100.551447 95.425815)
+        (xy 100.548333 95.429526)
+        (xy 100.548332 95.429527)
+        (xy 100.539585 95.439952)
+        (xy 100.419024 95.58363)
+        (xy 100.416689 95.587878)
+        (xy 100.416688 95.587879)
+        (xy 100.409955 95.600126)
+        (xy 100.319776 95.764162)
+        (xy 100.257484 95.960532)
+        (xy 100.256944 95.965344)
+        (xy 100.256944 95.965345)
+        (xy 100.255865 95.97497)
+        (xy 100.23452 96.165262)
+        (xy 96.7 96.165262)
+        (xy 96.7 93.993823)
+        (xy 114.811891 93.993823)
+        (xy 114.812806 94.00082)
+        (xy 114.812806 94.000821)
+        (xy 114.814314 94.012354)
+        (xy 114.83048 94.135979)
+        (xy 114.833321 94.142435)
+        (xy 114.833321 94.142436)
+        (xy 114.88061 94.249907)
+        (xy 114.88822 94.267203)
+        (xy 114.906512 94.288964)
+        (xy 114.975931 94.371549)
+        (xy 114.975934 94.371551)
+        (xy 114.98047 94.376948)
+        (xy 114.986341 94.380856)
+        (xy 114.986342 94.380857)
+        (xy 114.998643 94.389045)
+        (xy 115.099813 94.45639)
+        (xy 115.20042 94.487821)
+        (xy 115.229925 94.497039)
+        (xy 115.229926 94.497039)
+        (xy 115.236657 94.499142)
+        (xy 115.302663 94.500352)
+        (xy 115.370815 94.501602)
+        (xy 115.428649 94.521573)
+        (xy 115.4637 94.571724)
+        (xy 115.466821 94.615815)
+        (xy 115.446891 94.743823)
+        (xy 115.447806 94.75082)
+        (xy 115.447806 94.750821)
+        (xy 115.462753 94.865126)
+        (xy 115.46548 94.885979)
+        (xy 115.468321 94.892435)
+        (xy 115.468321 94.892436)
+        (xy 115.508609 94.983996)
+        (xy 115.52322 95.017203)
+        (xy 115.536292 95.032754)
+        (xy 115.610931 95.121549)
+        (xy 115.610934 95.121551)
+        (xy 115.61547 95.126948)
+        (xy 115.621341 95.130856)
+        (xy 115.621342 95.130857)
+        (xy 115.641809 95.144481)
+        (xy 115.734813 95.20639)
+        (xy 115.832309 95.236849)
+        (xy 115.864925 95.247039)
+        (xy 115.864926 95.247039)
+        (xy 115.871657 95.249142)
+        (xy 115.943328 95.250456)
+        (xy 116.007945 95.251641)
+        (xy 116.007947 95.251641)
+        (xy 116.014999 95.25177)
+        (xy 116.021802 95.249915)
+        (xy 116.021804 95.249915)
+        (xy 116.044149 95.243823)
+        (xy 117.494391 95.243823)
+        (xy 117.495306 95.25082)
+        (xy 117.495306 95.250821)
+        (xy 117.512065 95.378982)
+        (xy 117.51298 95.385979)
+        (xy 117.515821 95.392435)
+        (xy 117.515821 95.392436)
+        (xy 117.532142 95.429527)
+        (xy 117.57072 95.517203)
+        (xy 117.583792 95.532754)
+        (xy 117.658431 95.621549)
+        (xy 117.658434 95.621551)
+        (xy 117.66297 95.626948)
+        (xy 117.668841 95.630856)
+        (xy 117.668842 95.630857)
+        (xy 117.681143 95.639045)
+        (xy 117.782313 95.70639)
+        (xy 117.88292 95.737821)
+        (xy 117.912425 95.747039)
+        (xy 117.912426 95.747039)
+        (xy 117.919157 95.749142)
+        (xy 117.990828 95.750456)
+        (xy 118.055445 95.751641)
+        (xy 118.055447 95.751641)
+        (xy 118.062499 95.75177)
+        (xy 118.069302 95.749915)
+        (xy 118.069304 95.749915)
+        (xy 118.144503 95.729413)
+        (xy 118.200817 95.71406)
+        (xy 118.322991 95.639045)
+        (xy 118.330403 95.630857)
+        (xy 118.414468 95.537982)
+        (xy 118.4192 95.532754)
+        (xy 118.48171 95.403733)
+        (xy 118.485875 95.378982)
+        (xy 118.504862 95.266124)
+        (xy 118.504862 95.26612)
+        (xy 118.505496 95.262354)
+        (xy 118.505647 95.25)
+        (xy 118.485323 95.108082)
+        (xy 118.425984 94.977572)
+        (xy 118.36236 94.903733)
+        (xy 118.337005 94.874307)
+        (xy 118.337004 94.874306)
+        (xy 118.3324 94.868963)
+        (xy 118.212095 94.790985)
+        (xy 118.074739 94.749907)
+        (xy 117.991497 94.749398)
+        (xy 117.938427 94.749074)
+        (xy 117.938426 94.749074)
+        (xy 117.931376 94.749031)
+        (xy 117.924599 94.750968)
+        (xy 117.924598 94.750968)
+        (xy 117.800309 94.78649)
+        (xy 117.800307 94.786491)
+        (xy 117.793529 94.788428)
+        (xy 117.67228 94.86493)
+        (xy 117.667613 94.870214)
+        (xy 117.667611 94.870216)
+        (xy 117.582044 94.967103)
+        (xy 117.582042 94.967105)
+        (xy 117.577377 94.972388)
+        (xy 117.516447 95.102163)
+        (xy 117.515362 95.109132)
+        (xy 117.515361 95.109135)
+        (xy 117.501227 95.199915)
+        (xy 117.494391 95.243823)
+        (xy 116.044149 95.243823)
+        (xy 116.097003 95.229413)
+        (xy 116.153317 95.21406)
+        (xy 116.275491 95.139045)
+        (xy 116.282903 95.130857)
+        (xy 116.366968 95.037982)
+        (xy 116.3717 95.032754)
+        (xy 116.43421 94.903733)
+        (xy 116.438375 94.878982)
+        (xy 116.457362 94.766124)
+        (xy 116.457362 94.76612)
+        (xy 116.457996 94.762354)
+        (xy 116.458147 94.75)
+        (xy 116.457262 94.743823)
+        (xy 119.609391 94.743823)
+        (xy 119.610306 94.75082)
+        (xy 119.610306 94.750821)
+        (xy 119.625253 94.865126)
+        (xy 119.62798 94.885979)
+        (xy 119.630821 94.892435)
+        (xy 119.630821 94.892436)
+        (xy 119.671109 94.983996)
+        (xy 119.68572 95.017203)
+        (xy 119.698792 95.032754)
+        (xy 119.773431 95.121549)
+        (xy 119.773434 95.121551)
+        (xy 119.77797 95.126948)
+        (xy 119.783841 95.130856)
+        (xy 119.783842 95.130857)
+        (xy 119.804309 95.144481)
+        (xy 119.897313 95.20639)
+        (xy 119.994809 95.236849)
+        (xy 120.027425 95.247039)
+        (xy 120.027426 95.247039)
+        (xy 120.034157 95.249142)
+        (xy 120.105828 95.250456)
+        (xy 120.170445 95.251641)
+        (xy 120.170447 95.251641)
+        (xy 120.177499 95.25177)
+        (xy 120.184302 95.249915)
+        (xy 120.184304 95.249915)
+        (xy 120.259503 95.229413)
+        (xy 120.315817 95.21406)
+        (xy 120.437991 95.139045)
+        (xy 120.445403 95.130857)
+        (xy 120.529468 95.037982)
+        (xy 120.5342 95.032754)
+        (xy 120.59671 94.903733)
+        (xy 120.600875 94.878982)
+        (xy 120.619862 94.766124)
+        (xy 120.619862 94.76612)
+        (xy 120.620496 94.762354)
+        (xy 120.620647 94.75)
+        (xy 120.600323 94.608082)
+        (xy 120.56099 94.521573)
+        (xy 120.543905 94.483996)
+        (xy 120.543904 94.483995)
+        (xy 120.540984 94.477572)
+        (xy 120.457649 94.380857)
+        (xy 120.452005 94.374307)
+        (xy 120.452004 94.374306)
+        (xy 120.4474 94.368963)
+        (xy 120.327095 94.290985)
+        (xy 120.189739 94.249907)
+        (xy 120.106497 94.249398)
+        (xy 120.053427 94.249074)
+        (xy 120.053426 94.249074)
+        (xy 120.046376 94.249031)
+        (xy 120.039599 94.250968)
+        (xy 120.039598 94.250968)
+        (xy 119.915309 94.28649)
+        (xy 119.915307 94.286491)
+        (xy 119.908529 94.288428)
+        (xy 119.78728 94.36493)
+        (xy 119.782613 94.370214)
+        (xy 119.782611 94.370216)
+        (xy 119.697044 94.467103)
+        (xy 119.697042 94.467105)
+        (xy 119.692377 94.472388)
+        (xy 119.689381 94.47877)
+        (xy 119.68938 94.478771)
+        (xy 119.679453 94.499915)
+        (xy 119.631447 94.602163)
+        (xy 119.609391 94.743823)
+        (xy 116.457262 94.743823)
+        (xy 116.43849 94.61274)
+        (xy 116.448957 94.552456)
+        (xy 116.492859 94.509838)
+        (xy 116.538304 94.499722)
+        (xy 116.58273 94.500537)
+        (xy 116.642946 94.501641)
+        (xy 116.642948 94.501641)
+        (xy 116.649999 94.50177)
+        (xy 116.656802 94.499915)
+        (xy 116.656804 94.499915)
+        (xy 116.75777 94.472388)
+        (xy 116.788317 94.46406)
+        (xy 116.910491 94.389045)
+        (xy 116.917903 94.380857)
+        (xy 117.001968 94.287982)
+        (xy 117.0067 94.282754)
+        (xy 117.06921 94.153733)
+        (xy 117.073375 94.128982)
+        (xy 117.092362 94.016124)
+        (xy 117.092362 94.01612)
+        (xy 117.092996 94.012354)
+        (xy 117.093147 94)
+        (xy 117.092262 93.993823)
+        (xy 120.879391 93.993823)
+        (xy 120.880306 94.00082)
+        (xy 120.880306 94.000821)
+        (xy 120.881814 94.012354)
+        (xy 120.89798 94.135979)
+        (xy 120.900821 94.142435)
+        (xy 120.900821 94.142436)
+        (xy 120.94811 94.249907)
+        (xy 120.95572 94.267203)
+        (xy 120.974012 94.288964)
+        (xy 121.043431 94.371549)
+        (xy 121.043434 94.371551)
+        (xy 121.04797 94.376948)
+        (xy 121.053841 94.380856)
+        (xy 121.053842 94.380857)
+        (xy 121.066143 94.389045)
+        (xy 121.167313 94.45639)
+        (xy 121.26792 94.487821)
+        (xy 121.297425 94.497039)
+        (xy 121.297426 94.497039)
+        (xy 121.304157 94.499142)
+        (xy 121.375828 94.500456)
+        (xy 121.440445 94.501641)
+        (xy 121.440447 94.501641)
+        (xy 121.447499 94.50177)
+        (xy 121.454302 94.499915)
+        (xy 121.454304 94.499915)
+        (xy 121.55527 94.472388)
+        (xy 121.585817 94.46406)
+        (xy 121.707991 94.389045)
+        (xy 121.715403 94.380857)
+        (xy 121.799468 94.287982)
+        (xy 121.8042 94.282754)
+        (xy 121.86671 94.153733)
+        (xy 121.870875 94.128982)
+        (xy 121.889862 94.016124)
+        (xy 121.889862 94.01612)
+        (xy 121.890496 94.012354)
+        (xy 121.890647 94)
+        (xy 121.889762 93.993823)
+        (xy 122.244391 93.993823)
+        (xy 122.245306 94.00082)
+        (xy 122.245306 94.000821)
+        (xy 122.246814 94.012354)
+        (xy 122.26298 94.135979)
+        (xy 122.265821 94.142435)
+        (xy 122.265821 94.142436)
+        (xy 122.31311 94.249907)
+        (xy 122.32072 94.267203)
+        (xy 122.339012 94.288964)
+        (xy 122.408431 94.371549)
+        (xy 122.408434 94.371551)
+        (xy 122.41297 94.376948)
+        (xy 122.418841 94.380856)
+        (xy 122.418842 94.380857)
+        (xy 122.431143 94.389045)
+        (xy 122.532313 94.45639)
+        (xy 122.63292 94.487821)
+        (xy 122.662425 94.497039)
+        (xy 122.662426 94.497039)
+        (xy 122.669157 94.499142)
+        (xy 122.740828 94.500456)
+        (xy 122.805445 94.501641)
+        (xy 122.805447 94.501641)
+        (xy 122.812499 94.50177)
+        (xy 122.819302 94.499915)
+        (xy 122.819304 94.499915)
+        (xy 122.92027 94.472388)
+        (xy 122.950817 94.46406)
+        (xy 123.072991 94.389045)
+        (xy 123.080403 94.380857)
+        (xy 123.164468 94.287982)
+        (xy 123.1692 94.282754)
+        (xy 123.23171 94.153733)
+        (xy 123.235875 94.128982)
+        (xy 123.254862 94.016124)
+        (xy 123.254862 94.01612)
+        (xy 123.255496 94.012354)
+        (xy 123.255647 94)
+        (xy 123.235323 93.858082)
+        (xy 123.175984 93.727572)
+        (xy 123.0824 93.618963)
+        (xy 122.962095 93.540985)
+        (xy 122.824739 93.499907)
+        (xy 122.741497 93.499398)
+        (xy 122.688427 93.499074)
+        (xy 122.688426 93.499074)
+        (xy 122.681376 93.499031)
+        (xy 122.674599 93.500968)
+        (xy 122.674598 93.500968)
+        (xy 122.550309 93.53649)
+        (xy 122.550307 93.536491)
+        (xy 122.543529 93.538428)
+        (xy 122.42228 93.61493)
+        (xy 122.417613 93.620214)
+        (xy 122.417611 93.620216)
+        (xy 122.332044 93.717103)
+        (xy 122.332042 93.717105)
+        (xy 122.327377 93.722388)
+        (xy 122.266447 93.852163)
+        (xy 122.244391 93.993823)
+        (xy 121.889762 93.993823)
+        (xy 121.870323 93.858082)
+        (xy 121.810984 93.727572)
+        (xy 121.7174 93.618963)
+        (xy 121.597095 93.540985)
+        (xy 121.459739 93.499907)
+        (xy 121.376497 93.499398)
+        (xy 121.323427 93.499074)
+        (xy 121.323426 93.499074)
+        (xy 121.316376 93.499031)
+        (xy 121.309599 93.500968)
+        (xy 121.309598 93.500968)
+        (xy 121.185309 93.53649)
+        (xy 121.185307 93.536491)
+        (xy 121.178529 93.538428)
+        (xy 121.05728 93.61493)
+        (xy 121.052613 93.620214)
+        (xy 121.052611 93.620216)
+        (xy 120.967044 93.717103)
+        (xy 120.967042 93.717105)
+        (xy 120.962377 93.722388)
+        (xy 120.901447 93.852163)
+        (xy 120.879391 93.993823)
+        (xy 117.092262 93.993823)
+        (xy 117.072823 93.858082)
+        (xy 117.013484 93.727572)
+        (xy 116.9199 93.618963)
+        (xy 116.799595 93.540985)
+        (xy 116.662239 93.499907)
+        (xy 116.578997 93.499398)
+        (xy 116.525927 93.499074)
+        (xy 116.525926 93.499074)
+        (xy 116.518876 93.499031)
+        (xy 116.512099 93.500968)
+        (xy 116.512098 93.500968)
+        (xy 116.387809 93.53649)
+        (xy 116.387807 93.536491)
+        (xy 116.381029 93.538428)
+        (xy 116.25978 93.61493)
+        (xy 116.255113 93.620214)
+        (xy 116.255111 93.620216)
+        (xy 116.169544 93.717103)
+        (xy 116.169542 93.717105)
+        (xy 116.164877 93.722388)
+        (xy 116.103947 93.852163)
+        (xy 116.081891 93.993823)
+        (xy 116.082806 94.00082)
+        (xy 116.082806 94.000821)
+        (xy 116.10048 94.135979)
+        (xy 116.097699 94.136343)
+        (xy 116.09565 94.185262)
+        (xy 116.057646 94.233214)
+        (xy 116.002253 94.249754)
+        (xy 115.899603 94.249127)
+        (xy 115.841529 94.229865)
+        (xy 115.805868 94.180146)
+        (xy 115.80258 94.133704)
+        (xy 115.822362 94.016124)
+        (xy 115.822362 94.01612)
+        (xy 115.822996 94.012354)
+        (xy 115.823147 94)
+        (xy 115.802823 93.858082)
+        (xy 115.743484 93.727572)
+        (xy 115.6499 93.618963)
+        (xy 115.529595 93.540985)
+        (xy 115.392239 93.499907)
+        (xy 115.308997 93.499398)
+        (xy 115.255927 93.499074)
+        (xy 115.255926 93.499074)
+        (xy 115.248876 93.499031)
+        (xy 115.242099 93.500968)
+        (xy 115.242098 93.500968)
+        (xy 115.117809 93.53649)
+        (xy 115.117807 93.536491)
+        (xy 115.111029 93.538428)
+        (xy 114.98978 93.61493)
+        (xy 114.985113 93.620214)
+        (xy 114.985111 93.620216)
+        (xy 114.899544 93.717103)
+        (xy 114.899542 93.717105)
+        (xy 114.894877 93.722388)
+        (xy 114.833947 93.852163)
+        (xy 114.811891 93.993823)
+        (xy 96.7 93.993823)
+        (xy 96.7 88.53396)
+        (xy 96.702518 88.511773)
+        (xy 96.705143 88.500358)
+        (xy 96.702682 88.489484)
+        (xy 96.702702 88.478335)
+        (xy 96.703716 88.478337)
+        (xy 96.703105 88.468476)
+        (xy 96.714416 88.353632)
+        (xy 96.718202 88.334598)
+        (xy 96.758066 88.203183)
+        (xy 96.765493 88.185252)
+        (xy 96.796078 88.128033)
+        (xy 96.830228 88.064143)
+        (xy 96.841008 88.048009)
+        (xy 96.878955 88.00177)
+        (xy 96.928131 87.941848)
+        (xy 96.941848 87.928131)
+        (xy 97.048009 87.841008)
+        (xy 97.064144 87.830227)
+        (xy 97.185255 87.765492)
+        (xy 97.203183 87.758066)
+        (xy 97.26889 87.738134)
+        (xy 97.3346 87.718202)
+        (xy 97.35363 87.714416)
+        (xy 97.468499 87.703103)
+        (xy 97.478371 87.702626)
+        (xy 97.488775 87.702644)
+        (xy 97.499642 87.705143)
+        (xy 97.511583 87.702441)
+        (xy 97.533432 87.7)
+        (xy 103.965778 87.7)
+        (xy 103.98813 87.702557)
+        (xy 103.999284 87.705142)
+        (xy 104 87.705143)
+        (xy 104.005443 87.703902)
+        (xy 104.01084 87.703298)
+        (xy 104.016787 87.70239)
+        (xy 104.0455 87.70013)
+        (xy 104.184432 87.689196)
+        (xy 104.184437 87.689195)
+        (xy 104.188302 87.688891)
+        (xy 104.371967 87.644797)
+        (xy 104.449938 87.612501)
+        (xy 104.542877 87.574005)
+        (xy 104.542882 87.574002)
+        (xy 104.546473 87.572515)
+        (xy 104.549788 87.570483)
+        (xy 104.549793 87.570481)
+        (xy 104.670993 87.496209)
+        (xy 104.674887 87.493823)
+        (xy 107.244391 87.493823)
+        (xy 107.245306 87.50082)
+        (xy 107.245306 87.500821)
+        (xy 107.246814 87.512354)
+        (xy 107.26298 87.635979)
+        (xy 107.265821 87.642435)
+        (xy 107.265821 87.642436)
+        (xy 107.3167 87.758066)
+        (xy 107.32072 87.767203)
+        (xy 107.366845 87.822075)
+        (xy 107.408431 87.871549)
+        (xy 107.408434 87.871551)
+        (xy 107.41297 87.876948)
+        (xy 107.532313 87.95639)
+        (xy 107.613731 87.981826)
+        (xy 107.662425 87.997039)
+        (xy 107.662426 87.997039)
+        (xy 107.669157 87.999142)
+        (xy 107.740828 88.000456)
+        (xy 107.805445 88.001641)
+        (xy 107.805447 88.001641)
+        (xy 107.812499 88.00177)
+        (xy 107.896417 87.978891)
+        (xy 107.95753 87.981826)
+        (xy 108.005248 88.020123)
+        (xy 108.021342 88.079154)
+        (xy 108.017862 88.09915)
+        (xy 108.016447 88.102163)
+        (xy 107.994391 88.243823)
+        (xy 107.995306 88.25082)
+        (xy 107.995306 88.250821)
+        (xy 107.996814 88.262354)
+        (xy 108.01298 88.385979)
+        (xy 108.015821 88.392435)
+        (xy 108.015821 88.392436)
+        (xy 108.053619 88.478337)
+        (xy 108.07072 88.517203)
+        (xy 108.083792 88.532754)
+        (xy 108.158431 88.621549)
+        (xy 108.158434 88.621551)
+        (xy 108.16297 88.626948)
+        (xy 108.168841 88.630856)
+        (xy 108.168842 88.630857)
+        (xy 108.181143 88.639045)
+        (xy 108.282313 88.70639)
+        (xy 108.38292 88.737821)
+        (xy 108.412425 88.747039)
+        (xy 108.412426 88.747039)
+        (xy 108.419157 88.749142)
+        (xy 108.490828 88.750456)
+        (xy 108.555445 88.751641)
+        (xy 108.555447 88.751641)
+        (xy 108.562499 88.75177)
+        (xy 108.569302 88.749915)
+        (xy 108.569304 88.749915)
+        (xy 108.644503 88.729413)
+        (xy 108.700817 88.71406)
+        (xy 108.822991 88.639045)
+        (xy 108.830403 88.630857)
+        (xy 108.914468 88.537982)
+        (xy 108.9192 88.532754)
+        (xy 108.98171 88.403733)
+        (xy 108.985875 88.378982)
+        (xy 109.004862 88.266124)
+        (xy 109.004862 88.26612)
+        (xy 109.005496 88.262354)
+        (xy 109.005647 88.25)
+        (xy 108.985323 88.108082)
+        (xy 108.936986 88.00177)
+        (xy 108.928904 87.983994)
+        (xy 108.928904 87.983993)
+        (xy 108.925984 87.977572)
+        (xy 108.89375 87.940163)
+        (xy 108.870089 87.883738)
+        (xy 108.884112 87.824181)
+        (xy 108.89535 87.809104)
+        (xy 108.914468 87.787982)
+        (xy 108.9192 87.782754)
+        (xy 108.98171 87.653733)
+        (xy 108.983214 87.644797)
+        (xy 109.004862 87.516124)
+        (xy 109.004862 87.51612)
+        (xy 109.005496 87.512354)
+        (xy 109.005647 87.5)
+        (xy 108.985323 87.358082)
+        (xy 108.956577 87.294858)
+        (xy 108.928905 87.233996)
+        (xy 108.928904 87.233995)
+        (xy 108.925984 87.227572)
+        (xy 108.849704 87.139045)
+        (xy 108.837005 87.124307)
+        (xy 108.837004 87.124306)
+        (xy 108.8324 87.118963)
+        (xy 108.712095 87.040985)
+        (xy 108.574739 86.999907)
+        (xy 108.491497 86.999398)
+        (xy 108.438427 86.999074)
+        (xy 108.438426 86.999074)
+        (xy 108.431376 86.999031)
+        (xy 108.424599 87.000968)
+        (xy 108.424598 87.000968)
+        (xy 108.300309 87.03649)
+        (xy 108.300307 87.036491)
+        (xy 108.293529 87.038428)
+        (xy 108.287567 87.04219)
+        (xy 108.287564 87.042191)
+        (xy 108.177128 87.111871)
+        (xy 108.117825 87.126932)
+        (xy 108.070453 87.111219)
+        (xy 107.968016 87.044823)
+        (xy 107.968017 87.044823)
+        (xy 107.962095 87.040985)
+        (xy 107.824739 86.999907)
+        (xy 107.741497 86.999398)
+        (xy 107.688427 86.999074)
+        (xy 107.688426 86.999074)
+        (xy 107.681376 86.999031)
+        (xy 107.674599 87.000968)
+        (xy 107.674598 87.000968)
+        (xy 107.550309 87.03649)
+        (xy 107.550307 87.036491)
+        (xy 107.543529 87.038428)
+        (xy 107.42228 87.11493)
+        (xy 107.417613 87.120214)
+        (xy 107.417611 87.120216)
+        (xy 107.332044 87.217103)
+        (xy 107.332042 87.217105)
+        (xy 107.327377 87.222388)
+        (xy 107.266447 87.352163)
+        (xy 107.265362 87.359132)
+        (xy 107.265361 87.359135)
+        (xy 107.25068 87.45343)
+        (xy 107.244391 87.493823)
+        (xy 104.674887 87.493823)
+        (xy 104.707524 87.473823)
+        (xy 104.851153 87.351153)
+        (xy 104.951214 87.233996)
+        (xy 104.971295 87.210484)
+        (xy 104.973823 87.207524)
+        (xy 105.028093 87.118963)
+        (xy 105.070481 87.049793)
+        (xy 105.070483 87.049788)
+        (xy 105.072515 87.046473)
+        (xy 105.074002 87.042882)
+        (xy 105.074005 87.042877)
+        (xy 105.14331 86.875556)
+        (xy 105.144797 86.871967)
+        (xy 105.188891 86.688302)
+        (xy 105.195671 86.602163)
+        (xy 105.20231 86.517806)
+        (xy 105.203244 86.511751)
+        (xy 105.203234 86.51175)
+        (xy 105.203875 86.506182)
+        (xy 105.205142 86.500716)
+        (xy 105.205143 86.5)
+        (xy 105.2039 86.494553)
+        (xy 105.203278 86.488992)
+        (xy 105.204502 86.488855)
+        (xy 105.203129 86.468228)
+        (xy 105.214416 86.353632)
+        (xy 105.218202 86.334598)
+        (xy 105.258066 86.203183)
+        (xy 105.265493 86.185252)
+        (xy 105.282341 86.153733)
+        (xy 105.330228 86.064143)
+        (xy 105.341008 86.048009)
+        (xy 105.380407 86)
+        (xy 105.428131 85.941848)
+        (xy 105.441848 85.928131)
+        (xy 105.548009 85.841008)
+        (xy 105.564144 85.830227)
+        (xy 105.685255 85.765492)
+        (xy 105.703177 85.758068)
+        (xy 105.715722 85.754262)
+        (xy 105.744457 85.75)
+        (xy 109.245139 85.75)
+      )
+    )
+  )
+  (zone (net 2) (net_name "GND") (layer "In1.Cu") (tstamp 9cdd33b1-079a-4a35-bc8d-bad0b4d83afd) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 134 108)
+        (xy 127.5 108)
+        (xy 127.5 94.5)
+        (xy 126.5 93.5)
+        (xy 126.5 88.75)
+        (xy 111.75 88.75)
+        (xy 111.75 86.5)
+        (xy 111.5 86.25)
+        (xy 111.5 85.25)
+        (xy 110.75 84.5)
+        (xy 110.75 75.5)
+        (xy 134 75.5)
+      )
+    )
+    (filled_polygon
+      (layer "In1.Cu")
+      (pts
+        (xy 132.988227 75.702518)
+        (xy 132.999642 75.705143)
+        (xy 133.010516 75.702682)
+        (xy 133.021665 75.702702)
+        (xy 133.021663 75.703716)
+        (xy 133.031524 75.703105)
+        (xy 133.087538 75.708622)
+        (xy 133.14637 75.714416)
+        (xy 133.1654 75.718202)
+        (xy 133.23111 75.738134)
+        (xy 133.296817 75.758066)
+        (xy 133.314745 75.765492)
+        (xy 133.365229 75.792476)
+        (xy 133.435856 75.830227)
+        (xy 133.451991 75.841008)
+        (xy 133.558152 75.928131)
+        (xy 133.571869 75.941848)
+        (xy 133.581454 75.953527)
+        (xy 133.658992 76.048009)
+        (xy 133.669772 76.064143)
+        (xy 133.703923 76.128033)
+        (xy 133.734507 76.185252)
+        (xy 133.741934 76.203183)
+        (xy 133.781798 76.334598)
+        (xy 133.785584 76.353632)
+        (xy 133.796897 76.468493)
+        (xy 133.797374 76.478371)
+        (xy 133.797356 76.488775)
+        (xy 133.794857 76.499642)
+        (xy 133.797559 76.511583)
+        (xy 133.8 76.533432)
+        (xy 133.8 106.96604)
+        (xy 133.797482 106.988227)
+        (xy 133.794857 106.999642)
+        (xy 133.797318 107.010516)
+        (xy 133.797298 107.021665)
+        (xy 133.796284 107.021663)
+        (xy 133.796895 107.031524)
+        (xy 133.785584 107.146368)
+        (xy 133.781798 107.1654)
+        (xy 133.767038 107.21406)
+        (xy 133.741934 107.296817)
+        (xy 133.734508 107.314745)
+        (xy 133.693598 107.391283)
+        (xy 133.669773 107.435856)
+        (xy 133.658992 107.451991)
+        (xy 133.571869 107.558152)
+        (xy 133.558152 107.571869)
+        (xy 133.477053 107.638425)
+        (xy 133.451991 107.658992)
+        (xy 133.435857 107.669772)
+        (xy 133.314745 107.734508)
+        (xy 133.296817 107.741934)
+        (xy 133.23111 107.761866)
+        (xy 133.1654 107.781798)
+        (xy 133.14637 107.785584)
+        (xy 133.031501 107.796897)
+        (xy 133.021629 107.797374)
+        (xy 133.011225 107.797356)
+        (xy 133.000358 107.794857)
+        (xy 132.988417 107.797559)
+        (xy 132.966568 107.8)
+        (xy 127.941507 107.8)
+        (xy 127.878703 107.777529)
+        (xy 127.82628 107.734507)
+        (xy 127.800427 107.71329)
+        (xy 127.78671 107.699573)
+        (xy 127.674715 107.563106)
+        (xy 127.663933 107.546969)
+        (xy 127.580717 107.391283)
+        (xy 127.57329 107.373353)
+        (xy 127.522045 107.20442)
+        (xy 127.518259 107.185386)
+        (xy 127.500477 107.004843)
+        (xy 127.5 106.995139)
+        (xy 127.5 103.785262)
+        (xy 128.17452 103.785262)
+        (xy 128.191759 103.990553)
+        (xy 128.248544 104.188586)
+        (xy 128.342712 104.371818)
+        (xy 128.470677 104.53327)
+        (xy 128.474357 104.536402)
+        (xy 128.474359 104.536404)
+        (xy 128.587017 104.632283)
+        (xy 128.627564 104.666791)
+        (xy 128.631787 104.669151)
+        (xy 128.631791 104.669154)
+        (xy 128.671342 104.691258)
+        (xy 128.807398 104.767297)
+        (xy 128.811996 104.768791)
+        (xy 128.998724 104.829463)
+        (xy 128.998726 104.829464)
+        (xy 129.003329 104.830959)
+        (xy 129.207894 104.855351)
+        (xy 129.212716 104.85498)
+        (xy 129.212719 104.85498)
+        (xy 129.280541 104.849761)
+        (xy 129.4133 104.839546)
+        (xy 129.611725 104.784145)
+        (xy 129.616038 104.781966)
+        (xy 129.616044 104.781964)
+        (xy 129.791289 104.693441)
+        (xy 129.791291 104.69344)
+        (xy 129.79561 104.691258)
+        (xy 129.830943 104.663653)
+        (xy 129.954135 104.567406)
+        (xy 129.954139 104.567402)
+        (xy 129.957951 104.564424)
+        (xy 130.092564 104.408472)
+        (xy 130.111231 104.375613)
+        (xy 130.191934 104.23355)
+        (xy 130.191935 104.233547)
+        (xy 130.194323 104.229344)
+        (xy 130.207882 104.188586)
+        (xy 130.257824 104.038454)
+        (xy 130.257824 104.038452)
+        (xy 130.259351 104.033863)
+        (xy 130.285171 103.829474)
+        (xy 130.285583 103.8)
+        (xy 130.271636 103.657754)
+        (xy 130.265952 103.59978)
+        (xy 130.265951 103.599776)
+        (xy 130.26548 103.59497)
+        (xy 130.240122 103.510979)
+        (xy 130.207333 103.40238)
+        (xy 130.205935 103.397749)
+        (xy 130.109218 103.215849)
+        (xy 129.979011 103.0562)
+        (xy 129.96279 103.042781)
+        (xy 129.824002 102.927965)
+        (xy 129.824 102.927964)
+        (xy 129.820275 102.924882)
+        (xy 129.639055 102.826897)
+        (xy 129.575855 102.807333)
+        (xy 129.446875 102.767407)
+        (xy 129.446871 102.767406)
+        (xy 129.442254 102.765977)
+        (xy 129.437446 102.765472)
+        (xy 129.437443 102.765471)
+        (xy 129.242185 102.744949)
+        (xy 129.242183 102.744949)
+        (xy 129.237369 102.744443)
+        (xy 129.177354 102.749905)
+        (xy 129.037022 102.762675)
+        (xy 129.037017 102.762676)
+        (xy 129.032203 102.763114)
+        (xy 128.834572 102.82128)
+        (xy 128.830288 102.823519)
+        (xy 128.830287 102.82352)
+        (xy 128.819428 102.829197)
+        (xy 128.652002 102.916726)
+        (xy 128.648231 102.919758)
+        (xy 128.49522 103.042781)
+        (xy 128.495217 103.042783)
+        (xy 128.491447 103.045815)
+        (xy 128.488333 103.049526)
+        (xy 128.488332 103.049527)
+        (xy 128.479585 103.059952)
+        (xy 128.359024 103.20363)
+        (xy 128.356689 103.207878)
+        (xy 128.356688 103.207879)
+        (xy 128.349955 103.220126)
+        (xy 128.259776 103.384162)
+        (xy 128.258313 103.388775)
+        (xy 128.258311 103.388779)
+        (xy 128.204413 103.558689)
+        (xy 128.197484 103.580532)
+        (xy 128.196944 103.585344)
+        (xy 128.196944 103.585345)
+        (xy 128.178257 103.751948)
+        (xy 128.17452 103.785262)
+        (xy 127.5 103.785262)
+        (xy 127.5 101.245262)
+        (xy 128.17452 101.245262)
+        (xy 128.191759 101.450553)
+        (xy 128.248544 101.648586)
+        (xy 128.342712 101.831818)
+        (xy 128.470677 101.99327)
+        (xy 128.474357 101.996402)
+        (xy 128.474359 101.996404)
+        (xy 128.587017 102.092283)
+        (xy 128.627564 102.126791)
+        (xy 128.631787 102.129151)
+        (xy 128.631791 102.129154)
+        (xy 128.671342 102.151258)
+        (xy 128.807398 102.227297)
+        (xy 128.811996 102.228791)
+        (xy 128.998724 102.289463)
+        (xy 128.998726 102.289464)
+        (xy 129.003329 102.290959)
+        (xy 129.207894 102.315351)
+        (xy 129.212716 102.31498)
+        (xy 129.212719 102.31498)
+        (xy 129.280541 102.309761)
+        (xy 129.4133 102.299546)
+        (xy 129.611725 102.244145)
+        (xy 129.616038 102.241966)
+        (xy 129.616044 102.241964)
+        (xy 129.791289 102.153441)
+        (xy 129.791291 102.15344)
+        (xy 129.79561 102.151258)
+        (xy 129.830943 102.123653)
+        (xy 129.954135 102.027406)
+        (xy 129.954139 102.027402)
+        (xy 129.957951 102.024424)
+        (xy 130.092564 101.868472)
+        (xy 130.111231 101.835613)
+        (xy 130.191934 101.69355)
+        (xy 130.191935 101.693547)
+        (xy 130.194323 101.689344)
+        (xy 130.207882 101.648586)
+        (xy 130.257824 101.498454)
+        (xy 130.257824 101.498452)
+        (xy 130.259351 101.493863)
+        (xy 130.285171 101.289474)
+        (xy 130.285583 101.26)
+        (xy 130.284138 101.245262)
+        (xy 130.71452 101.245262)
+        (xy 130.731759 101.450553)
+        (xy 130.788544 101.648586)
+        (xy 130.882712 101.831818)
+        (xy 131.010677 101.99327)
+        (xy 131.014357 101.996402)
+        (xy 131.014359 101.996404)
+        (xy 131.127017 102.092283)
+        (xy 131.167564 102.126791)
+        (xy 131.171787 102.129151)
+        (xy 131.171791 102.129154)
+        (xy 131.211342 102.151258)
+        (xy 131.347398 102.227297)
+        (xy 131.351996 102.228791)
+        (xy 131.538724 102.289463)
+        (xy 131.538726 102.289464)
+        (xy 131.543329 102.290959)
+        (xy 131.747894 102.315351)
+        (xy 131.752716 102.31498)
+        (xy 131.752719 102.31498)
+        (xy 131.820541 102.309761)
+        (xy 131.9533 102.299546)
+        (xy 132.151725 102.244145)
+        (xy 132.156038 102.241966)
+        (xy 132.156044 102.241964)
+        (xy 132.331289 102.153441)
+        (xy 132.331291 102.15344)
+        (xy 132.33561 102.151258)
+        (xy 132.370943 102.123653)
+        (xy 132.494135 102.027406)
+        (xy 132.494139 102.027402)
+        (xy 132.497951 102.024424)
+        (xy 132.632564 101.868472)
+        (xy 132.651231 101.835613)
+        (xy 132.731934 101.69355)
+        (xy 132.731935 101.693547)
+        (xy 132.734323 101.689344)
+        (xy 132.747882 101.648586)
+        (xy 132.797824 101.498454)
+        (xy 132.797824 101.498452)
+        (xy 132.799351 101.493863)
+        (xy 132.825171 101.289474)
+        (xy 132.825583 101.26)
+        (xy 132.80548 101.05497)
+        (xy 132.745935 100.857749)
+        (xy 132.649218 100.675849)
+        (xy 132.519011 100.5162)
+        (xy 132.360275 100.384882)
+        (xy 132.179055 100.286897)
+        (xy 132.115855 100.267333)
+        (xy 131.986875 100.227407)
+        (xy 131.986871 100.227406)
+        (xy 131.982254 100.225977)
+        (xy 131.977446 100.225472)
+        (xy 131.977443 100.225471)
+        (xy 131.782185 100.204949)
+        (xy 131.782183 100.204949)
+        (xy 131.777369 100.204443)
+        (xy 131.717354 100.209905)
+        (xy 131.577022 100.222675)
+        (xy 131.577017 100.222676)
+        (xy 131.572203 100.223114)
+        (xy 131.374572 100.28128)
+        (xy 131.370288 100.283519)
+        (xy 131.370287 100.28352)
+        (xy 131.359428 100.289197)
+        (xy 131.192002 100.376726)
+        (xy 131.188231 100.379758)
+        (xy 131.03522 100.502781)
+        (xy 131.035217 100.502783)
+        (xy 131.031447 100.505815)
+        (xy 131.028333 100.509526)
+        (xy 131.028332 100.509527)
+        (xy 131.019585 100.519952)
+        (xy 130.899024 100.66363)
+        (xy 130.896689 100.667878)
+        (xy 130.896688 100.667879)
+        (xy 130.889955 100.680126)
+        (xy 130.799776 100.844162)
+        (xy 130.737484 101.040532)
+        (xy 130.736944 101.045344)
+        (xy 130.736944 101.045345)
+        (xy 130.735865 101.05497)
+        (xy 130.71452 101.245262)
+        (xy 130.284138 101.245262)
+        (xy 130.26548 101.05497)
+        (xy 130.205935 100.857749)
+        (xy 130.109218 100.675849)
+        (xy 129.979011 100.5162)
+        (xy 129.820275 100.384882)
+        (xy 129.639055 100.286897)
+        (xy 129.575855 100.267333)
+        (xy 129.446875 100.227407)
+        (xy 129.446871 100.227406)
+        (xy 129.442254 100.225977)
+        (xy 129.437446 100.225472)
+        (xy 129.437443 100.225471)
+        (xy 129.242185 100.204949)
+        (xy 129.242183 100.204949)
+        (xy 129.237369 100.204443)
+        (xy 129.177354 100.209905)
+        (xy 129.037022 100.222675)
+        (xy 129.037017 100.222676)
+        (xy 129.032203 100.223114)
+        (xy 128.834572 100.28128)
+        (xy 128.830288 100.283519)
+        (xy 128.830287 100.28352)
+        (xy 128.819428 100.289197)
+        (xy 128.652002 100.376726)
+        (xy 128.648231 100.379758)
+        (xy 128.49522 100.502781)
+        (xy 128.495217 100.502783)
+        (xy 128.491447 100.505815)
+        (xy 128.488333 100.509526)
+        (xy 128.488332 100.509527)
+        (xy 128.479585 100.519952)
+        (xy 128.359024 100.66363)
+        (xy 128.356689 100.667878)
+        (xy 128.356688 100.667879)
+        (xy 128.349955 100.680126)
+        (xy 128.259776 100.844162)
+        (xy 128.197484 101.040532)
+        (xy 128.196944 101.045344)
+        (xy 128.196944 101.045345)
+        (xy 128.195865 101.05497)
+        (xy 128.17452 101.245262)
+        (xy 127.5 101.245262)
+        (xy 127.5 98.705262)
+        (xy 128.17452 98.705262)
+        (xy 128.191759 98.910553)
+        (xy 128.248544 99.108586)
+        (xy 128.342712 99.291818)
+        (xy 128.470677 99.45327)
+        (xy 128.474357 99.456402)
+        (xy 128.474359 99.456404)
+        (xy 128.587017 99.552283)
+        (xy 128.627564 99.586791)
+        (xy 128.631787 99.589151)
+        (xy 128.631791 99.589154)
+        (xy 128.671342 99.611258)
+        (xy 128.807398 99.687297)
+        (xy 128.811996 99.688791)
+        (xy 128.998724 99.749463)
+        (xy 128.998726 99.749464)
+        (xy 129.003329 99.750959)
+        (xy 129.207894 99.775351)
+        (xy 129.212716 99.77498)
+        (xy 129.212719 99.77498)
+        (xy 129.280541 99.769761)
+        (xy 129.4133 99.759546)
+        (xy 129.611725 99.704145)
+        (xy 129.616038 99.701966)
+        (xy 129.616044 99.701964)
+        (xy 129.791289 99.613441)
+        (xy 129.791291 99.61344)
+        (xy 129.79561 99.611258)
+        (xy 129.830943 99.583653)
+        (xy 129.954135 99.487406)
+        (xy 129.954139 99.487402)
+        (xy 129.957951 99.484424)
+        (xy 130.092564 99.328472)
+        (xy 130.111231 99.295613)
+        (xy 130.191934 99.15355)
+        (xy 130.191935 99.153547)
+        (xy 130.194323 99.149344)
+        (xy 130.207882 99.108586)
+        (xy 130.257824 98.958454)
+        (xy 130.257824 98.958452)
+        (xy 130.259351 98.953863)
+        (xy 130.285171 98.749474)
+        (xy 130.285583 98.72)
+        (xy 130.284138 98.705262)
+        (xy 130.71452 98.705262)
+        (xy 130.731759 98.910553)
+        (xy 130.788544 99.108586)
+        (xy 130.882712 99.291818)
+        (xy 131.010677 99.45327)
+        (xy 131.014357 99.456402)
+        (xy 131.014359 99.456404)
+        (xy 131.127017 99.552283)
+        (xy 131.167564 99.586791)
+        (xy 131.171787 99.589151)
+        (xy 131.171791 99.589154)
+        (xy 131.211342 99.611258)
+        (xy 131.347398 99.687297)
+        (xy 131.351996 99.688791)
+        (xy 131.538724 99.749463)
+        (xy 131.538726 99.749464)
+        (xy 131.543329 99.750959)
+        (xy 131.747894 99.775351)
+        (xy 131.752716 99.77498)
+        (xy 131.752719 99.77498)
+        (xy 131.820541 99.769761)
+        (xy 131.9533 99.759546)
+        (xy 132.151725 99.704145)
+        (xy 132.156038 99.701966)
+        (xy 132.156044 99.701964)
+        (xy 132.331289 99.613441)
+        (xy 132.331291 99.61344)
+        (xy 132.33561 99.611258)
+        (xy 132.370943 99.583653)
+        (xy 132.494135 99.487406)
+        (xy 132.494139 99.487402)
+        (xy 132.497951 99.484424)
+        (xy 132.632564 99.328472)
+        (xy 132.651231 99.295613)
+        (xy 132.731934 99.15355)
+        (xy 132.731935 99.153547)
+        (xy 132.734323 99.149344)
+        (xy 132.747882 99.108586)
+        (xy 132.797824 98.958454)
+        (xy 132.797824 98.958452)
+        (xy 132.799351 98.953863)
+        (xy 132.825171 98.749474)
+        (xy 132.825583 98.72)
+        (xy 132.80548 98.51497)
+        (xy 132.745935 98.317749)
+        (xy 132.649218 98.135849)
+        (xy 132.519011 97.9762)
+        (xy 132.360275 97.844882)
+        (xy 132.179055 97.746897)
+        (xy 132.115855 97.727333)
+        (xy 131.986875 97.687407)
+        (xy 131.986871 97.687406)
+        (xy 131.982254 97.685977)
+        (xy 131.977446 97.685472)
+        (xy 131.977443 97.685471)
+        (xy 131.782185 97.664949)
+        (xy 131.782183 97.664949)
+        (xy 131.777369 97.664443)
+        (xy 131.717354 97.669905)
+        (xy 131.577022 97.682675)
+        (xy 131.577017 97.682676)
+        (xy 131.572203 97.683114)
+        (xy 131.374572 97.74128)
+        (xy 131.370288 97.743519)
+        (xy 131.370287 97.74352)
+        (xy 131.359428 97.749197)
+        (xy 131.192002 97.836726)
+        (xy 131.188231 97.839758)
+        (xy 131.03522 97.962781)
+        (xy 131.035217 97.962783)
+        (xy 131.031447 97.965815)
+        (xy 131.028333 97.969526)
+        (xy 131.028332 97.969527)
+        (xy 131.019585 97.979952)
+        (xy 130.899024 98.12363)
+        (xy 130.896689 98.127878)
+        (xy 130.896688 98.127879)
+        (xy 130.889955 98.140126)
+        (xy 130.799776 98.304162)
+        (xy 130.737484 98.500532)
+        (xy 130.736944 98.505344)
+        (xy 130.736944 98.505345)
+        (xy 130.735865 98.51497)
+        (xy 130.71452 98.705262)
+        (xy 130.284138 98.705262)
+        (xy 130.26548 98.51497)
+        (xy 130.205935 98.317749)
+        (xy 130.109218 98.135849)
+        (xy 129.979011 97.9762)
+        (xy 129.820275 97.844882)
+        (xy 129.639055 97.746897)
+        (xy 129.575855 97.727333)
+        (xy 129.446875 97.687407)
+        (xy 129.446871 97.687406)
+        (xy 129.442254 97.685977)
+        (xy 129.437446 97.685472)
+        (xy 129.437443 97.685471)
+        (xy 129.242185 97.664949)
+        (xy 129.242183 97.664949)
+        (xy 129.237369 97.664443)
+        (xy 129.177354 97.669905)
+        (xy 129.037022 97.682675)
+        (xy 129.037017 97.682676)
+        (xy 129.032203 97.683114)
+        (xy 128.834572 97.74128)
+        (xy 128.830288 97.743519)
+        (xy 128.830287 97.74352)
+        (xy 128.819428 97.749197)
+        (xy 128.652002 97.836726)
+        (xy 128.648231 97.839758)
+        (xy 128.49522 97.962781)
+        (xy 128.495217 97.962783)
+        (xy 128.491447 97.965815)
+        (xy 128.488333 97.969526)
+        (xy 128.488332 97.969527)
+        (xy 128.479585 97.979952)
+        (xy 128.359024 98.12363)
+        (xy 128.356689 98.127878)
+        (xy 128.356688 98.127879)
+        (xy 128.349955 98.140126)
+        (xy 128.259776 98.304162)
+        (xy 128.197484 98.500532)
+        (xy 128.196944 98.505344)
+        (xy 128.196944 98.505345)
+        (xy 128.195865 98.51497)
+        (xy 128.17452 98.705262)
+        (xy 127.5 98.705262)
+        (xy 127.5 96.165262)
+        (xy 128.17452 96.165262)
+        (xy 128.191759 96.370553)
+        (xy 128.193092 96.375201)
+        (xy 128.193092 96.375202)
+        (xy 128.24063 96.540985)
+        (xy 128.248544 96.568586)
+        (xy 128.342712 96.751818)
+        (xy 128.470677 96.91327)
+        (xy 128.474357 96.916402)
+        (xy 128.474359 96.916404)
+        (xy 128.565327 96.993823)
+        (xy 128.627564 97.046791)
+        (xy 128.631787 97.049151)
+        (xy 128.631791 97.049154)
+        (xy 128.671342 97.071258)
+        (xy 128.807398 97.147297)
+        (xy 128.811996 97.148791)
+        (xy 128.998724 97.209463)
+        (xy 128.998726 97.209464)
+        (xy 129.003329 97.210959)
+        (xy 129.207894 97.235351)
+        (xy 129.212716 97.23498)
+        (xy 129.212719 97.23498)
+        (xy 129.280541 97.229761)
+        (xy 129.4133 97.219546)
+        (xy 129.611725 97.164145)
+        (xy 129.616038 97.161966)
+        (xy 129.616044 97.161964)
+        (xy 129.791289 97.073441)
+        (xy 129.791291 97.07344)
+        (xy 129.79561 97.071258)
+        (xy 129.830943 97.043653)
+        (xy 129.954135 96.947406)
+        (xy 129.954139 96.947402)
+        (xy 129.957951 96.944424)
+        (xy 130.092564 96.788472)
+        (xy 130.111231 96.755613)
+        (xy 130.191934 96.61355)
+        (xy 130.191935 96.613547)
+        (xy 130.194323 96.609344)
+        (xy 130.207882 96.568586)
+        (xy 130.257824 96.418454)
+        (xy 130.257824 96.418452)
+        (xy 130.259351 96.413863)
+        (xy 130.285171 96.209474)
+        (xy 130.285583 96.18)
+        (xy 130.284138 96.165262)
+        (xy 130.71452 96.165262)
+        (xy 130.731759 96.370553)
+        (xy 130.733092 96.375201)
+        (xy 130.733092 96.375202)
+        (xy 130.78063 96.540985)
+        (xy 130.788544 96.568586)
+        (xy 130.882712 96.751818)
+        (xy 131.010677 96.91327)
+        (xy 131.014357 96.916402)
+        (xy 131.014359 96.916404)
+        (xy 131.105327 96.993823)
+        (xy 131.167564 97.046791)
+        (xy 131.171787 97.049151)
+        (xy 131.171791 97.049154)
+        (xy 131.211342 97.071258)
+        (xy 131.347398 97.147297)
+        (xy 131.351996 97.148791)
+        (xy 131.538724 97.209463)
+        (xy 131.538726 97.209464)
+        (xy 131.543329 97.210959)
+        (xy 131.747894 97.235351)
+        (xy 131.752716 97.23498)
+        (xy 131.752719 97.23498)
+        (xy 131.820541 97.229761)
+        (xy 131.9533 97.219546)
+        (xy 132.151725 97.164145)
+        (xy 132.156038 97.161966)
+        (xy 132.156044 97.161964)
+        (xy 132.331289 97.073441)
+        (xy 132.331291 97.07344)
+        (xy 132.33561 97.071258)
+        (xy 132.370943 97.043653)
+        (xy 132.494135 96.947406)
+        (xy 132.494139 96.947402)
+        (xy 132.497951 96.944424)
+        (xy 132.632564 96.788472)
+        (xy 132.651231 96.755613)
+        (xy 132.731934 96.61355)
+        (xy 132.731935 96.613547)
+        (xy 132.734323 96.609344)
+        (xy 132.747882 96.568586)
+        (xy 132.797824 96.418454)
+        (xy 132.797824 96.418452)
+        (xy 132.799351 96.413863)
+        (xy 132.825171 96.209474)
+        (xy 132.825583 96.18)
+        (xy 132.80548 95.97497)
+        (xy 132.799871 95.95639)
+        (xy 132.747333 95.78238)
+        (xy 132.745935 95.777749)
+        (xy 132.649218 95.595849)
+        (xy 132.519011 95.4362)
+        (xy 132.479765 95.403733)
+        (xy 132.364002 95.307965)
+        (xy 132.364 95.307964)
+        (xy 132.360275 95.304882)
+        (xy 132.179055 95.206897)
+        (xy 132.115855 95.187333)
+        (xy 131.986875 95.147407)
+        (xy 131.986871 95.147406)
+        (xy 131.982254 95.145977)
+        (xy 131.977446 95.145472)
+        (xy 131.977443 95.145471)
+        (xy 131.782185 95.124949)
+        (xy 131.782183 95.124949)
+        (xy 131.777369 95.124443)
+        (xy 131.717354 95.129905)
+        (xy 131.577022 95.142675)
+        (xy 131.577017 95.142676)
+        (xy 131.572203 95.143114)
+        (xy 131.374572 95.20128)
+        (xy 131.370288 95.203519)
+        (xy 131.370287 95.20352)
+        (xy 131.359566 95.209125)
+        (xy 131.192002 95.296726)
+        (xy 131.188231 95.299758)
+        (xy 131.03522 95.422781)
+        (xy 131.035217 95.422783)
+        (xy 131.031447 95.425815)
+        (xy 131.028333 95.429526)
+        (xy 131.028332 95.429527)
+        (xy 131.019585 95.439952)
+        (xy 130.899024 95.58363)
+        (xy 130.896689 95.587878)
+        (xy 130.896688 95.587879)
+        (xy 130.889955 95.600126)
+        (xy 130.799776 95.764162)
+        (xy 130.737484 95.960532)
+        (xy 130.736944 95.965344)
+        (xy 130.736944 95.965345)
+        (xy 130.735865 95.97497)
+        (xy 130.71452 96.165262)
+        (xy 130.284138 96.165262)
+        (xy 130.26548 95.97497)
+        (xy 130.259871 95.95639)
+        (xy 130.207333 95.78238)
+        (xy 130.205935 95.777749)
+        (xy 130.109218 95.595849)
+        (xy 129.979011 95.4362)
+        (xy 129.939765 95.403733)
+        (xy 129.824002 95.307965)
+        (xy 129.824 95.307964)
+        (xy 129.820275 95.304882)
+        (xy 129.639055 95.206897)
+        (xy 129.575855 95.187333)
+        (xy 129.446875 95.147407)
+        (xy 129.446871 95.147406)
+        (xy 129.442254 95.145977)
+        (xy 129.437446 95.145472)
+        (xy 129.437443 95.145471)
+        (xy 129.242185 95.124949)
+        (xy 129.242183 95.124949)
+        (xy 129.237369 95.124443)
+        (xy 129.177354 95.129905)
+        (xy 129.037022 95.142675)
+        (xy 129.037017 95.142676)
+        (xy 129.032203 95.143114)
+        (xy 128.834572 95.20128)
+        (xy 128.830288 95.203519)
+        (xy 128.830287 95.20352)
+        (xy 128.819566 95.209125)
+        (xy 128.652002 95.296726)
+        (xy 128.648231 95.299758)
+        (xy 128.49522 95.422781)
+        (xy 128.495217 95.422783)
+        (xy 128.491447 95.425815)
+        (xy 128.488333 95.429526)
+        (xy 128.488332 95.429527)
+        (xy 128.479585 95.439952)
+        (xy 128.359024 95.58363)
+        (xy 128.356689 95.587878)
+        (xy 128.356688 95.587879)
+        (xy 128.349955 95.600126)
+        (xy 128.259776 95.764162)
+        (xy 128.197484 95.960532)
+        (xy 128.196944 95.965344)
+        (xy 128.196944 95.965345)
+        (xy 128.195865 95.97497)
+        (xy 128.17452 96.165262)
+        (xy 127.5 96.165262)
+        (xy 127.5 94.5)
+        (xy 126.796328 93.796328)
+        (xy 126.789804 93.789129)
+        (xy 126.674715 93.648893)
+        (xy 126.663933 93.632756)
+        (xy 126.580717 93.47707)
+        (xy 126.57329 93.45914)
+        (xy 126.522045 93.290207)
+        (xy 126.518259 93.271173)
+        (xy 126.500477 93.090629)
+        (xy 126.5 93.080925)
+        (xy 126.5 91.898823)
+        (xy 130.494391 91.898823)
+        (xy 130.495306 91.90582)
+        (xy 130.495306 91.905821)
+        (xy 130.496814 91.917354)
+        (xy 130.51298 92.040979)
+        (xy 130.515821 92.047435)
+        (xy 130.515821 92.047436)
+        (xy 130.523586 92.065082)
+        (xy 130.57072 92.172203)
+        (xy 130.583792 92.187754)
+        (xy 130.658431 92.276549)
+        (xy 130.658434 92.276551)
+        (xy 130.66297 92.281948)
+        (xy 130.668841 92.285856)
+        (xy 130.668842 92.285857)
+        (xy 130.681143 92.294045)
+        (xy 130.782313 92.36139)
+        (xy 130.88292 92.392821)
+        (xy 130.912425 92.402039)
+        (xy 130.912426 92.402039)
+        (xy 130.919157 92.404142)
+        (xy 130.990828 92.405456)
+        (xy 131.055445 92.406641)
+        (xy 131.055447 92.406641)
+        (xy 131.062499 92.40677)
+        (xy 131.069302 92.404915)
+        (xy 131.069304 92.404915)
+        (xy 131.144503 92.384413)
+        (xy 131.200817 92.36906)
+        (xy 131.322991 92.294045)
+        (xy 131.330403 92.285857)
+        (xy 131.414468 92.192982)
+        (xy 131.4192 92.187754)
+        (xy 131.48171 92.058733)
+        (xy 131.485875 92.033982)
+        (xy 131.504862 91.921124)
+        (xy 131.504862 91.92112)
+        (xy 131.505496 91.917354)
+        (xy 131.505647 91.905)
+        (xy 131.485323 91.763082)
+        (xy 131.425984 91.632572)
+        (xy 131.3324 91.523963)
+        (xy 131.212095 91.445985)
+        (xy 131.074739 91.404907)
+        (xy 130.991497 91.404398)
+        (xy 130.938427 91.404074)
+        (xy 130.938426 91.404074)
+        (xy 130.931376 91.404031)
+        (xy 130.924599 91.405968)
+        (xy 130.924598 91.405968)
+        (xy 130.800309 91.44149)
+        (xy 130.800307 91.441491)
+        (xy 130.793529 91.443428)
+        (xy 130.67228 91.51993)
+        (xy 130.667613 91.525214)
+        (xy 130.667611 91.525216)
+        (xy 130.582044 91.622103)
+        (xy 130.582042 91.622105)
+        (xy 130.577377 91.627388)
+        (xy 130.516447 91.757163)
+        (xy 130.494391 91.898823)
+        (xy 126.5 91.898823)
+        (xy 126.5 90.628823)
+        (xy 130.494391 90.628823)
+        (xy 130.495306 90.63582)
+        (xy 130.495306 90.635821)
+        (xy 130.496814 90.647354)
+        (xy 130.51298 90.770979)
+        (xy 130.515821 90.777435)
+        (xy 130.515821 90.777436)
+        (xy 130.523586 90.795082)
+        (xy 130.57072 90.902203)
+        (xy 130.583792 90.917754)
+        (xy 130.658431 91.006549)
+        (xy 130.658434 91.006551)
+        (xy 130.66297 91.011948)
+        (xy 130.668841 91.015856)
+        (xy 130.668842 91.015857)
+        (xy 130.681143 91.024045)
+        (xy 130.782313 91.09139)
+        (xy 130.88292 91.122821)
+        (xy 130.912425 91.132039)
+        (xy 130.912426 91.132039)
+        (xy 130.919157 91.134142)
+        (xy 130.990828 91.135456)
+        (xy 131.055445 91.136641)
+        (xy 131.055447 91.136641)
+        (xy 131.062499 91.13677)
+        (xy 131.069302 91.134915)
+        (xy 131.069304 91.134915)
+        (xy 131.144503 91.114413)
+        (xy 131.200817 91.09906)
+        (xy 131.322991 91.024045)
+        (xy 131.330403 91.015857)
+        (xy 131.414468 90.922982)
+        (xy 131.4192 90.917754)
+        (xy 131.48171 90.788733)
+        (xy 131.485875 90.763982)
+        (xy 131.504862 90.651124)
+        (xy 131.504862 90.65112)
+        (xy 131.505496 90.647354)
+        (xy 131.505647 90.635)
+        (xy 131.485323 90.493082)
+        (xy 131.425984 90.362572)
+        (xy 131.3324 90.253963)
+        (xy 131.212095 90.175985)
+        (xy 131.074739 90.134907)
+        (xy 130.991497 90.134398)
+        (xy 130.938427 90.134074)
+        (xy 130.938426 90.134074)
+        (xy 130.931376 90.134031)
+        (xy 130.924599 90.135968)
+        (xy 130.924598 90.135968)
+        (xy 130.800309 90.17149)
+        (xy 130.800307 90.171491)
+        (xy 130.793529 90.173428)
+        (xy 130.67228 90.24993)
+        (xy 130.667613 90.255214)
+        (xy 130.667611 90.255216)
+        (xy 130.582044 90.352103)
+        (xy 130.582042 90.352105)
+        (xy 130.577377 90.357388)
+        (xy 130.516447 90.487163)
+        (xy 130.494391 90.628823)
+        (xy 126.5 90.628823)
+        (xy 126.5 88.75)
+        (xy 112.754861 88.75)
+        (xy 112.745157 88.749523)
+        (xy 112.564612 88.731741)
+        (xy 112.545582 88.727955)
+        (xy 112.461113 88.702332)
+        (xy 112.376647 88.67671)
+        (xy 112.358717 88.669283)
+        (xy 112.203031 88.586067)
+        (xy 112.186894 88.575285)
+        (xy 112.131837 88.530102)
+        (xy 112.050427 88.46329)
+        (xy 112.03671 88.449573)
+        (xy 111.924715 88.313106)
+        (xy 111.913933 88.296969)
+        (xy 111.830717 88.141283)
+        (xy 111.82329 88.123353)
+        (xy 111.812815 88.088823)
+        (xy 130.494391 88.088823)
+        (xy 130.495306 88.09582)
+        (xy 130.495306 88.095821)
+        (xy 130.496814 88.107354)
+        (xy 130.51298 88.230979)
+        (xy 130.515821 88.237435)
+        (xy 130.515821 88.237436)
+        (xy 130.523586 88.255082)
+        (xy 130.57072 88.362203)
+        (xy 130.583792 88.377754)
+        (xy 130.658431 88.466549)
+        (xy 130.658434 88.466551)
+        (xy 130.66297 88.471948)
+        (xy 130.668841 88.475856)
+        (xy 130.668842 88.475857)
+        (xy 130.681143 88.484045)
+        (xy 130.782313 88.55139)
+        (xy 130.858798 88.575285)
+        (xy 130.912425 88.592039)
+        (xy 130.912426 88.592039)
+        (xy 130.919157 88.594142)
+        (xy 130.990828 88.595456)
+        (xy 131.055445 88.596641)
+        (xy 131.055447 88.596641)
+        (xy 131.062499 88.59677)
+        (xy 131.069302 88.594915)
+        (xy 131.069304 88.594915)
+        (xy 131.144503 88.574413)
+        (xy 131.200817 88.55906)
+        (xy 131.322991 88.484045)
+        (xy 131.330403 88.475857)
+        (xy 131.414468 88.382982)
+        (xy 131.4192 88.377754)
+        (xy 131.48171 88.248733)
+        (xy 131.485875 88.223982)
+        (xy 131.504862 88.111124)
+        (xy 131.504862 88.11112)
+        (xy 131.505496 88.107354)
+        (xy 131.505647 88.095)
+        (xy 131.485323 87.953082)
+        (xy 131.425984 87.822572)
+        (xy 131.3324 87.713963)
+        (xy 131.212095 87.635985)
+        (xy 131.074739 87.594907)
+        (xy 130.991497 87.594398)
+        (xy 130.938427 87.594074)
+        (xy 130.938426 87.594074)
+        (xy 130.931376 87.594031)
+        (xy 130.924599 87.595968)
+        (xy 130.924598 87.595968)
+        (xy 130.800309 87.63149)
+        (xy 130.800307 87.631491)
+        (xy 130.793529 87.633428)
+        (xy 130.67228 87.70993)
+        (xy 130.667613 87.715214)
+        (xy 130.667611 87.715216)
+        (xy 130.582044 87.812103)
+        (xy 130.582042 87.812105)
+        (xy 130.577377 87.817388)
+        (xy 130.516447 87.947163)
+        (xy 130.494391 88.088823)
+        (xy 111.812815 88.088823)
+        (xy 111.772045 87.95442)
+        (xy 111.768259 87.935386)
+        (xy 111.757781 87.828996)
+        (xy 111.750477 87.754843)
+        (xy 111.75 87.745139)
+        (xy 111.75 86.743823)
+        (xy 123.244391 86.743823)
+        (xy 123.245306 86.75082)
+        (xy 123.245306 86.750821)
+        (xy 123.246814 86.762354)
+        (xy 123.26298 86.885979)
+        (xy 123.265821 86.892435)
+        (xy 123.265821 86.892436)
+        (xy 123.273586 86.910082)
+        (xy 123.32072 87.017203)
+        (xy 123.333792 87.032754)
+        (xy 123.408431 87.121549)
+        (xy 123.408434 87.121551)
+        (xy 123.41297 87.126948)
+        (xy 123.418841 87.130856)
+        (xy 123.418842 87.130857)
+        (xy 123.431143 87.139045)
+        (xy 123.532313 87.20639)
+        (xy 123.63292 87.237821)
+        (xy 123.662425 87.247039)
+        (xy 123.662426 87.247039)
+        (xy 123.669157 87.249142)
+        (xy 123.740828 87.250456)
+        (xy 123.805445 87.251641)
+        (xy 123.805447 87.251641)
+        (xy 123.812499 87.25177)
+        (xy 123.819302 87.249915)
+        (xy 123.819304 87.249915)
+        (xy 123.894503 87.229413)
+        (xy 123.950817 87.21406)
+        (xy 124.072991 87.139045)
+        (xy 124.080403 87.130857)
+        (xy 124.164468 87.037982)
+        (xy 124.1692 87.032754)
+        (xy 124.23171 86.903733)
+        (xy 124.235875 86.878982)
+        (xy 124.254862 86.766124)
+        (xy 124.254862 86.76612)
+        (xy 124.255496 86.762354)
+        (xy 124.255647 86.75)
+        (xy 124.235323 86.608082)
+        (xy 124.186986 86.50177)
+        (xy 124.178905 86.483996)
+        (xy 124.178904 86.483995)
+        (xy 124.175984 86.477572)
+        (xy 124.092649 86.380857)
+        (xy 124.087005 86.374307)
+        (xy 124.087004 86.374306)
+        (xy 124.0824 86.368963)
+        (xy 123.962095 86.290985)
+        (xy 123.824739 86.249907)
+        (xy 123.741497 86.249398)
+        (xy 123.688427 86.249074)
+        (xy 123.688426 86.249074)
+        (xy 123.681376 86.249031)
+        (xy 123.674599 86.250968)
+        (xy 123.674598 86.250968)
+        (xy 123.550309 86.28649)
+        (xy 123.550307 86.286491)
+        (xy 123.543529 86.288428)
+        (xy 123.42228 86.36493)
+        (xy 123.417613 86.370214)
+        (xy 123.417611 86.370216)
+        (xy 123.332044 86.467103)
+        (xy 123.332042 86.467105)
+        (xy 123.327377 86.472388)
+        (xy 123.266447 86.602163)
+        (xy 123.244391 86.743823)
+        (xy 111.75 86.743823)
+        (xy 111.75 86.5)
+        (xy 111.625 86.375)
+        (xy 111.621329 86.370216)
+        (xy 111.56511 86.29695)
+        (xy 111.552188 86.274567)
+        (xy 111.51953 86.195723)
+        (xy 111.512841 86.17076)
+        (xy 111.500847 86.079657)
+        (xy 111.5 86.066735)
+        (xy 111.5 85.993823)
+        (xy 114.176891 85.993823)
+        (xy 114.177806 86.00082)
+        (xy 114.177806 86.000821)
+        (xy 114.179104 86.010747)
+        (xy 114.19548 86.135979)
+        (xy 114.198321 86.142435)
+        (xy 114.198321 86.142436)
+        (xy 114.246373 86.251641)
+        (xy 114.25322 86.267203)
+        (xy 114.271512 86.288964)
+        (xy 114.340931 86.371549)
+        (xy 114.340934 86.371551)
+        (xy 114.34547 86.376948)
+        (xy 114.351341 86.380856)
+        (xy 114.351342 86.380857)
+        (xy 114.363643 86.389045)
+        (xy 114.464813 86.45639)
+        (xy 114.56542 86.487821)
+        (xy 114.594925 86.497039)
+        (xy 114.594926 86.497039)
+        (xy 114.601657 86.499142)
+        (xy 114.673328 86.500456)
+        (xy 114.737945 86.501641)
+        (xy 114.737947 86.501641)
+        (xy 114.744999 86.50177)
+        (xy 114.751802 86.499915)
+        (xy 114.751804 86.499915)
+        (xy 114.85277 86.472388)
+        (xy 114.883317 86.46406)
+        (xy 115.005491 86.389045)
+        (xy 115.012903 86.380857)
+        (xy 115.096968 86.287982)
+        (xy 115.1017 86.282754)
+        (xy 115.16421 86.153733)
+        (xy 115.176209 86.082414)
+        (xy 115.204509 86.028167)
+        (xy 115.25929 86.000915)
+        (xy 115.275652 85.999857)
+        (xy 115.372945 86.001641)
+        (xy 115.372947 86.001641)
+        (xy 115.379999 86.00177)
+        (xy 115.386802 85.999915)
+        (xy 115.386804 85.999915)
+        (xy 115.462003 85.979413)
+        (xy 115.518317 85.96406)
+        (xy 115.640491 85.889045)
+        (xy 115.6496 85.878982)
+        (xy 115.731968 85.787982)
+        (xy 115.7367 85.782754)
+        (xy 115.79921 85.653733)
+        (xy 115.800714 85.644797)
+        (xy 115.822362 85.516124)
+        (xy 115.822362 85.51612)
+        (xy 115.822996 85.512354)
+        (xy 115.823147 85.5)
+        (xy 115.802823 85.358082)
+        (xy 115.774388 85.295543)
+        (xy 115.746405 85.233996)
+        (xy 115.746404 85.233995)
+        (xy 115.743484 85.227572)
+        (xy 115.67986 85.153733)
+        (xy 115.654505 85.124307)
+        (xy 115.654504 85.124306)
+        (xy 115.6499 85.118963)
+        (xy 115.529595 85.040985)
+        (xy 115.392239 84.999907)
+        (xy 115.308997 84.999398)
+        (xy 115.255927 84.999074)
+        (xy 115.255926 84.999074)
+        (xy 115.248876 84.999031)
+        (xy 115.242099 85.000968)
+        (xy 115.242098 85.000968)
+        (xy 115.117809 85.03649)
+        (xy 115.117807 85.036491)
+        (xy 115.111029 85.038428)
+        (xy 114.98978 85.11493)
+        (xy 114.985113 85.120214)
+        (xy 114.985111 85.120216)
+        (xy 114.899544 85.217103)
+        (xy 114.899542 85.217105)
+        (xy 114.894877 85.222388)
+        (xy 114.891881 85.22877)
+        (xy 114.89188 85.228771)
+        (xy 114.88129 85.251327)
+        (xy 114.833947 85.352163)
+        (xy 114.829019 85.383814)
+        (xy 114.824016 85.415947)
+        (xy 114.796382 85.470536)
+        (xy 114.741938 85.498456)
+        (xy 114.725595 85.499714)
+        (xy 114.639629 85.499188)
+        (xy 114.620927 85.499074)
+        (xy 114.620926 85.499074)
+        (xy 114.613876 85.499031)
+        (xy 114.607099 85.500968)
+        (xy 114.607098 85.500968)
+        (xy 114.482809 85.53649)
+        (xy 114.482807 85.536491)
+        (xy 114.476029 85.538428)
+        (xy 114.35478 85.61493)
+        (xy 114.350113 85.620214)
+        (xy 114.350111 85.620216)
+        (xy 114.264544 85.717103)
+        (xy 114.264542 85.717105)
+        (xy 114.259877 85.722388)
+        (xy 114.256881 85.72877)
+        (xy 114.25688 85.728771)
+        (xy 114.246143 85.751641)
+        (xy 114.198947 85.852163)
+        (xy 114.197862 85.859132)
+        (xy 114.197861 85.859135)
+        (xy 114.189929 85.910082)
+        (xy 114.176891 85.993823)
+        (xy 111.5 85.993823)
+        (xy 111.5 85.25)
+        (xy 111.243823 84.993823)
+        (xy 113.169391 84.993823)
+        (xy 113.170306 85.00082)
+        (xy 113.170306 85.000821)
+        (xy 113.185245 85.115066)
+        (xy 113.18798 85.135979)
+        (xy 113.190821 85.142435)
+        (xy 113.190821 85.142436)
+        (xy 113.238873 85.251641)
+        (xy 113.24572 85.267203)
+        (xy 113.285718 85.314786)
+        (xy 113.333431 85.371549)
+        (xy 113.333434 85.371551)
+        (xy 113.33797 85.376948)
+        (xy 113.343841 85.380856)
+        (xy 113.343842 85.380857)
+        (xy 113.351537 85.385979)
+        (xy 113.457313 85.45639)
+        (xy 113.55792 85.487821)
+        (xy 113.587425 85.497039)
+        (xy 113.587426 85.497039)
+        (xy 113.594157 85.499142)
+        (xy 113.665828 85.500456)
+        (xy 113.730445 85.501641)
+        (xy 113.730447 85.501641)
+        (xy 113.737499 85.50177)
+        (xy 113.744302 85.499915)
+        (xy 113.744304 85.499915)
+        (xy 113.84527 85.472388)
+        (xy 113.875817 85.46406)
+        (xy 113.997991 85.389045)
+        (xy 114.005403 85.380857)
+        (xy 114.089468 85.287982)
+        (xy 114.0942 85.282754)
+        (xy 114.15671 85.153733)
+        (xy 114.159182 85.139045)
+        (xy 114.179862 85.016124)
+        (xy 114.179862 85.01612)
+        (xy 114.180496 85.012354)
+        (xy 114.180647 85)
+        (xy 114.160323 84.858082)
+        (xy 114.127772 84.78649)
+        (xy 114.108373 84.743823)
+        (xy 117.494391 84.743823)
+        (xy 117.495306 84.75082)
+        (xy 117.495306 84.750821)
+        (xy 117.510245 84.865066)
+        (xy 117.51298 84.885979)
+        (xy 117.515821 84.892435)
+        (xy 117.515821 84.892436)
+        (xy 117.563512 85.000821)
+        (xy 117.57072 85.017203)
+        (xy 117.589012 85.038964)
+        (xy 117.658431 85.121549)
+        (xy 117.658434 85.121551)
+        (xy 117.66297 85.126948)
+        (xy 117.668841 85.130856)
+        (xy 117.668842 85.130857)
+        (xy 117.681143 85.139045)
+        (xy 117.782313 85.20639)
+        (xy 117.879809 85.236849)
+        (xy 117.912425 85.247039)
+        (xy 117.912426 85.247039)
+        (xy 117.919157 85.249142)
+        (xy 117.990828 85.250456)
+        (xy 118.055445 85.251641)
+        (xy 118.055447 85.251641)
+        (xy 118.062499 85.25177)
+        (xy 118.069302 85.249915)
+        (xy 118.069304 85.249915)
+        (xy 118.17027 85.222388)
+        (xy 118.200817 85.21406)
+        (xy 118.322991 85.139045)
+        (xy 118.330403 85.130857)
+        (xy 118.414468 85.037982)
+        (xy 118.4192 85.032754)
+        (xy 118.48171 84.903733)
+        (xy 118.485875 84.878982)
+        (xy 118.504862 84.766124)
+        (xy 118.504862 84.76612)
+        (xy 118.505496 84.762354)
+        (xy 118.505647 84.75)
+        (xy 118.504762 84.743823)
+        (xy 119.494391 84.743823)
+        (xy 119.495306 84.75082)
+        (xy 119.495306 84.750821)
+        (xy 119.510245 84.865066)
+        (xy 119.51298 84.885979)
+        (xy 119.515821 84.892435)
+        (xy 119.515821 84.892436)
+        (xy 119.563512 85.000821)
+        (xy 119.57072 85.017203)
+        (xy 119.589012 85.038964)
+        (xy 119.658431 85.121549)
+        (xy 119.658434 85.121551)
+        (xy 119.66297 85.126948)
+        (xy 119.668841 85.130856)
+        (xy 119.668842 85.130857)
+        (xy 119.78123 85.205669)
+        (xy 119.819193 85.253653)
+        (xy 119.821702 85.314786)
+        (xy 119.791178 85.362471)
+        (xy 119.78728 85.36493)
+        (xy 119.782608 85.37022)
+        (xy 119.782607 85.370221)
+        (xy 119.697044 85.467103)
+        (xy 119.697042 85.467105)
+        (xy 119.692377 85.472388)
+        (xy 119.689381 85.47877)
+        (xy 119.68938 85.478771)
+        (xy 119.678643 85.501641)
+        (xy 119.631447 85.602163)
+        (xy 119.630362 85.609132)
+        (xy 119.630361 85.609135)
+        (xy 119.622429 85.660082)
+        (xy 119.609391 85.743823)
+        (xy 119.610306 85.75082)
+        (xy 119.610306 85.750821)
+        (xy 119.611814 85.762354)
+        (xy 119.62798 85.885979)
+        (xy 119.630821 85.892435)
+        (xy 119.630821 85.892436)
+        (xy 119.678873 86.001641)
+        (xy 119.68572 86.017203)
+        (xy 119.698792 86.032754)
+        (xy 119.773431 86.121549)
+        (xy 119.773434 86.121551)
+        (xy 119.77797 86.126948)
+        (xy 119.783841 86.130856)
+        (xy 119.783842 86.130857)
+        (xy 119.791537 86.135979)
+        (xy 119.897313 86.20639)
+        (xy 119.99792 86.237821)
+        (xy 120.027425 86.247039)
+        (xy 120.027426 86.247039)
+        (xy 120.034157 86.249142)
+        (xy 120.105828 86.250456)
+        (xy 120.170445 86.251641)
+        (xy 120.170447 86.251641)
+        (xy 120.177499 86.25177)
+        (xy 120.184302 86.249915)
+        (xy 120.184304 86.249915)
+        (xy 120.259503 86.229413)
+        (xy 120.315817 86.21406)
+        (xy 120.437991 86.139045)
+        (xy 120.445403 86.130857)
+        (xy 120.529468 86.037982)
+        (xy 120.5342 86.032754)
+        (xy 120.553062 85.993823)
+        (xy 120.879391 85.993823)
+        (xy 120.880306 86.00082)
+        (xy 120.880306 86.000821)
+        (xy 120.881604 86.010747)
+        (xy 120.89798 86.135979)
+        (xy 120.900821 86.142435)
+        (xy 120.900821 86.142436)
+        (xy 120.948873 86.251641)
+        (xy 120.95572 86.267203)
+        (xy 120.974012 86.288964)
+        (xy 121.043431 86.371549)
+        (xy 121.043434 86.371551)
+        (xy 121.04797 86.376948)
+        (xy 121.053841 86.380856)
+        (xy 121.053842 86.380857)
+        (xy 121.066143 86.389045)
+        (xy 121.167313 86.45639)
+        (xy 121.26792 86.487821)
+        (xy 121.297425 86.497039)
+        (xy 121.297426 86.497039)
+        (xy 121.304157 86.499142)
+        (xy 121.375828 86.500456)
+        (xy 121.440445 86.501641)
+        (xy 121.440447 86.501641)
+        (xy 121.447499 86.50177)
+        (xy 121.454302 86.499915)
+        (xy 121.454304 86.499915)
+        (xy 121.55527 86.472388)
+        (xy 121.585817 86.46406)
+        (xy 121.707991 86.389045)
+        (xy 121.715403 86.380857)
+        (xy 121.799468 86.287982)
+        (xy 121.8042 86.282754)
+        (xy 121.86671 86.153733)
+        (xy 121.86788 86.146777)
+        (xy 121.867881 86.146775)
+        (xy 121.889862 86.016124)
+        (xy 121.889862 86.01612)
+        (xy 121.890496 86.012354)
+        (xy 121.890647 86)
+        (xy 121.889762 85.993823)
+        (xy 122.244391 85.993823)
+        (xy 122.245306 86.00082)
+        (xy 122.245306 86.000821)
+        (xy 122.246604 86.010747)
+        (xy 122.26298 86.135979)
+        (xy 122.265821 86.142435)
+        (xy 122.265821 86.142436)
+        (xy 122.313873 86.251641)
+        (xy 122.32072 86.267203)
+        (xy 122.339012 86.288964)
+        (xy 122.408431 86.371549)
+        (xy 122.408434 86.371551)
+        (xy 122.41297 86.376948)
+        (xy 122.418841 86.380856)
+        (xy 122.418842 86.380857)
+        (xy 122.431143 86.389045)
+        (xy 122.532313 86.45639)
+        (xy 122.63292 86.487821)
+        (xy 122.662425 86.497039)
+        (xy 122.662426 86.497039)
+        (xy 122.669157 86.499142)
+        (xy 122.740828 86.500456)
+        (xy 122.805445 86.501641)
+        (xy 122.805447 86.501641)
+        (xy 122.812499 86.50177)
+        (xy 122.819302 86.499915)
+        (xy 122.819304 86.499915)
+        (xy 122.92027 86.472388)
+        (xy 122.950817 86.46406)
+        (xy 123.072991 86.389045)
+        (xy 123.080403 86.380857)
+        (xy 123.164468 86.287982)
+        (xy 123.1692 86.282754)
+        (xy 123.23171 86.153733)
+        (xy 123.23288 86.146777)
+        (xy 123.232881 86.146775)
+        (xy 123.254862 86.016124)
+        (xy 123.254862 86.01612)
+        (xy 123.255496 86.012354)
+        (xy 123.255647 86)
+        (xy 123.235323 85.858082)
+        (xy 123.198189 85.776409)
+        (xy 123.178905 85.733996)
+        (xy 123.178904 85.733995)
+        (xy 123.175984 85.727572)
+        (xy 123.11236 85.653733)
+        (xy 123.087005 85.624307)
+        (xy 123.087004 85.624306)
+        (xy 123.0824 85.618963)
+        (xy 122.962095 85.540985)
+        (xy 122.824739 85.499907)
+        (xy 122.741497 85.499398)
+        (xy 122.688427 85.499074)
+        (xy 122.688426 85.499074)
+        (xy 122.681376 85.499031)
+        (xy 122.674599 85.500968)
+        (xy 122.674598 85.500968)
+        (xy 122.550309 85.53649)
+        (xy 122.550307 85.536491)
+        (xy 122.543529 85.538428)
+        (xy 122.42228 85.61493)
+        (xy 122.417613 85.620214)
+        (xy 122.417611 85.620216)
+        (xy 122.332044 85.717103)
+        (xy 122.332042 85.717105)
+        (xy 122.327377 85.722388)
+        (xy 122.324381 85.72877)
+        (xy 122.32438 85.728771)
+        (xy 122.313643 85.751641)
+        (xy 122.266447 85.852163)
+        (xy 122.265362 85.859132)
+        (xy 122.265361 85.859135)
+        (xy 122.257429 85.910082)
+        (xy 122.244391 85.993823)
+        (xy 121.889762 85.993823)
+        (xy 121.870323 85.858082)
+        (xy 121.833189 85.776409)
+        (xy 121.813905 85.733996)
+        (xy 121.813904 85.733995)
+        (xy 121.810984 85.727572)
+        (xy 121.74736 85.653733)
+        (xy 121.722005 85.624307)
+        (xy 121.722004 85.624306)
+        (xy 121.7174 85.618963)
+        (xy 121.597095 85.540985)
+        (xy 121.459739 85.499907)
+        (xy 121.376497 85.499398)
+        (xy 121.323427 85.499074)
+        (xy 121.323426 85.499074)
+        (xy 121.316376 85.499031)
+        (xy 121.309599 85.500968)
+        (xy 121.309598 85.500968)
+        (xy 121.185309 85.53649)
+        (xy 121.185307 85.536491)
+        (xy 121.178529 85.538428)
+        (xy 121.05728 85.61493)
+        (xy 121.052613 85.620214)
+        (xy 121.052611 85.620216)
+        (xy 120.967044 85.717103)
+        (xy 120.967042 85.717105)
+        (xy 120.962377 85.722388)
+        (xy 120.959381 85.72877)
+        (xy 120.95938 85.728771)
+        (xy 120.948643 85.751641)
+        (xy 120.901447 85.852163)
+        (xy 120.900362 85.859132)
+        (xy 120.900361 85.859135)
+        (xy 120.892429 85.910082)
+        (xy 120.879391 85.993823)
+        (xy 120.553062 85.993823)
+        (xy 120.59671 85.903733)
+        (xy 120.599182 85.889045)
+        (xy 120.619862 85.766124)
+        (xy 120.619862 85.76612)
+        (xy 120.620496 85.762354)
+        (xy 120.620647 85.75)
+        (xy 120.600323 85.608082)
+        (xy 120.558985 85.517164)
+        (xy 120.543905 85.483996)
+        (xy 120.543904 85.483995)
+        (xy 120.540984 85.477572)
+        (xy 120.47736 85.403733)
+        (xy 120.452005 85.374307)
+        (xy 120.452004 85.374306)
+        (xy 120.4474 85.368963)
+        (xy 120.334127 85.295543)
+        (xy 120.295581 85.248027)
+        (xy 120.295357 85.243823)
+        (xy 123.244391 85.243823)
+        (xy 123.245306 85.25082)
+        (xy 123.245306 85.250821)
+        (xy 123.261454 85.374307)
+        (xy 123.26298 85.385979)
+        (xy 123.265821 85.392435)
+        (xy 123.265821 85.392436)
+        (xy 123.313873 85.501641)
+        (xy 123.32072 85.517203)
+        (xy 123.339012 85.538964)
+        (xy 123.408431 85.621549)
+        (xy 123.408434 85.621551)
+        (xy 123.41297 85.626948)
+        (xy 123.418841 85.630856)
+        (xy 123.418842 85.630857)
+        (xy 123.431143 85.639045)
+        (xy 123.532313 85.70639)
+        (xy 123.629809 85.736849)
+        (xy 123.662425 85.747039)
+        (xy 123.662426 85.747039)
+        (xy 123.669157 85.749142)
+        (xy 123.740828 85.750456)
+        (xy 123.805445 85.751641)
+        (xy 123.805447 85.751641)
+        (xy 123.812499 85.75177)
+        (xy 123.819302 85.749915)
+        (xy 123.819304 85.749915)
+        (xy 123.92027 85.722388)
+        (xy 123.950817 85.71406)
+        (xy 124.072991 85.639045)
+        (xy 124.080403 85.630857)
+        (xy 124.164468 85.537982)
+        (xy 124.1692 85.532754)
+        (xy 124.23171 85.403733)
+        (xy 124.234182 85.389045)
+        (xy 124.254862 85.266124)
+        (xy 124.254862 85.26612)
+        (xy 124.255496 85.262354)
+        (xy 124.255647 85.25)
+        (xy 124.235323 85.108082)
+        (xy 124.194003 85.017203)
+        (xy 124.178905 84.983996)
+        (xy 124.178904 84.983995)
+        (xy 124.175984 84.977572)
+        (xy 124.11236 84.903733)
+        (xy 124.087005 84.874307)
+        (xy 124.087004 84.874306)
+        (xy 124.0824 84.868963)
+        (xy 123.962095 84.790985)
+        (xy 123.824739 84.749907)
+        (xy 123.741497 84.749398)
+        (xy 123.688427 84.749074)
+        (xy 123.688426 84.749074)
+        (xy 123.681376 84.749031)
+        (xy 123.674599 84.750968)
+        (xy 123.674598 84.750968)
+        (xy 123.550309 84.78649)
+        (xy 123.550307 84.786491)
+        (xy 123.543529 84.788428)
+        (xy 123.42228 84.86493)
+        (xy 123.417613 84.870214)
+        (xy 123.417611 84.870216)
+        (xy 123.332044 84.967103)
+        (xy 123.332042 84.967105)
+        (xy 123.327377 84.972388)
+        (xy 123.324381 84.97877)
+        (xy 123.32438 84.978771)
+        (xy 123.313507 85.001929)
+        (xy 123.266447 85.102163)
+        (xy 123.265362 85.109132)
+        (xy 123.265361 85.109135)
+        (xy 123.257429 85.160082)
+        (xy 123.244391 85.243823)
+        (xy 120.295357 85.243823)
+        (xy 120.292325 85.186928)
+        (xy 120.322966 85.139061)
+        (xy 120.322991 85.139045)
+        (xy 120.4192 85.032754)
+        (xy 120.48171 84.903733)
+        (xy 120.485875 84.878982)
+        (xy 120.504862 84.766124)
+        (xy 120.504862 84.76612)
+        (xy 120.505496 84.762354)
+        (xy 120.505647 84.75)
+        (xy 120.485323 84.608082)
+        (xy 120.441524 84.51175)
+        (xy 120.428905 84.483996)
+        (xy 120.428904 84.483995)
+        (xy 120.425984 84.477572)
+        (xy 120.3324 84.368963)
+        (xy 120.212095 84.290985)
+        (xy 120.074739 84.249907)
+        (xy 119.991497 84.249398)
+        (xy 119.938427 84.249074)
+        (xy 119.938426 84.249074)
+        (xy 119.931376 84.249031)
+        (xy 119.924599 84.250968)
+        (xy 119.924598 84.250968)
+        (xy 119.800309 84.28649)
+        (xy 119.800307 84.286491)
+        (xy 119.793529 84.288428)
+        (xy 119.67228 84.36493)
+        (xy 119.667613 84.370214)
+        (xy 119.667611 84.370216)
+        (xy 119.582044 84.467103)
+        (xy 119.582042 84.467105)
+        (xy 119.577377 84.472388)
+        (xy 119.574381 84.47877)
+        (xy 119.57438 84.478771)
+        (xy 119.563507 84.501929)
+        (xy 119.516447 84.602163)
+        (xy 119.515362 84.609132)
+        (xy 119.515361 84.609135)
+        (xy 119.503035 84.688302)
+        (xy 119.494391 84.743823)
+        (xy 118.504762 84.743823)
+        (xy 118.485323 84.608082)
+        (xy 118.441524 84.51175)
+        (xy 118.428905 84.483996)
+        (xy 118.428904 84.483995)
+        (xy 118.425984 84.477572)
+        (xy 118.3324 84.368963)
+        (xy 118.212095 84.290985)
+        (xy 118.074739 84.249907)
+        (xy 117.991497 84.249398)
+        (xy 117.938427 84.249074)
+        (xy 117.938426 84.249074)
+        (xy 117.931376 84.249031)
+        (xy 117.924599 84.250968)
+        (xy 117.924598 84.250968)
+        (xy 117.800309 84.28649)
+        (xy 117.800307 84.286491)
+        (xy 117.793529 84.288428)
+        (xy 117.67228 84.36493)
+        (xy 117.667613 84.370214)
+        (xy 117.667611 84.370216)
+        (xy 117.582044 84.467103)
+        (xy 117.582042 84.467105)
+        (xy 117.577377 84.472388)
+        (xy 117.574381 84.47877)
+        (xy 117.57438 84.478771)
+        (xy 117.563507 84.501929)
+        (xy 117.516447 84.602163)
+        (xy 117.515362 84.609132)
+        (xy 117.515361 84.609135)
+        (xy 117.503035 84.688302)
+        (xy 117.494391 84.743823)
+        (xy 114.108373 84.743823)
+        (xy 114.103905 84.733996)
+        (xy 114.103904 84.733995)
+        (xy 114.100984 84.727572)
+        (xy 114.0074 84.618963)
+        (xy 113.887095 84.540985)
+        (xy 113.749739 84.499907)
+        (xy 113.666497 84.499398)
+        (xy 113.613427 84.499074)
+        (xy 113.613426 84.499074)
+        (xy 113.606376 84.499031)
+        (xy 113.599599 84.500968)
+        (xy 113.599598 84.500968)
+        (xy 113.475309 84.53649)
+        (xy 113.475307 84.536491)
+        (xy 113.468529 84.538428)
+        (xy 113.34728 84.61493)
+        (xy 113.342613 84.620214)
+        (xy 113.342611 84.620216)
+        (xy 113.257044 84.717103)
+        (xy 113.257042 84.717105)
+        (xy 113.252377 84.722388)
+        (xy 113.249381 84.72877)
+        (xy 113.24938 84.728771)
+        (xy 113.238507 84.751929)
+        (xy 113.191447 84.852163)
+        (xy 113.190362 84.859132)
+        (xy 113.190361 84.859135)
+        (xy 113.182429 84.910082)
+        (xy 113.169391 84.993823)
+        (xy 111.243823 84.993823)
+        (xy 111.046328 84.796328)
+        (xy 111.039804 84.789129)
+        (xy 110.965776 84.698926)
+        (xy 110.943476 84.641949)
+        (xy 110.943609 84.628353)
+        (xy 110.943928 84.624307)
+        (xy 110.946706 84.589)
+        (xy 110.95231 84.517806)
+        (xy 110.953244 84.511751)
+        (xy 110.953234 84.51175)
+        (xy 110.953875 84.506182)
+        (xy 110.955142 84.500716)
+        (xy 110.955143 84.5)
+        (xy 110.952479 84.488321)
+        (xy 110.95 84.466304)
+        (xy 110.95 83.743823)
+        (xy 126.494391 83.743823)
+        (xy 126.495306 83.75082)
+        (xy 126.495306 83.750821)
+        (xy 126.496814 83.762354)
+        (xy 126.51298 83.885979)
+        (xy 126.515821 83.892435)
+        (xy 126.515821 83.892436)
+        (xy 126.523586 83.910082)
+        (xy 126.57072 84.017203)
+        (xy 126.583792 84.032754)
+        (xy 126.658431 84.121549)
+        (xy 126.658434 84.121551)
+        (xy 126.66297 84.126948)
+        (xy 126.668841 84.130856)
+        (xy 126.668842 84.130857)
+        (xy 126.681143 84.139045)
+        (xy 126.782313 84.20639)
+        (xy 126.88292 84.237821)
+        (xy 126.912425 84.247039)
+        (xy 126.912426 84.247039)
+        (xy 126.919157 84.249142)
+        (xy 126.990828 84.250456)
+        (xy 127.055445 84.251641)
+        (xy 127.055447 84.251641)
+        (xy 127.062499 84.25177)
+        (xy 127.069302 84.249915)
+        (xy 127.069304 84.249915)
+        (xy 127.144503 84.229413)
+        (xy 127.200817 84.21406)
+        (xy 127.322991 84.139045)
+        (xy 127.330403 84.130857)
+        (xy 127.414468 84.037982)
+        (xy 127.4192 84.032754)
+        (xy 127.48171 83.903733)
+        (xy 127.485875 83.878982)
+        (xy 127.504862 83.766124)
+        (xy 127.504862 83.76612)
+        (xy 127.505496 83.762354)
+        (xy 127.505647 83.75)
+        (xy 127.485323 83.608082)
+        (xy 127.425984 83.477572)
+        (xy 127.3324 83.368963)
+        (xy 127.212095 83.290985)
+        (xy 127.074739 83.249907)
+        (xy 126.991497 83.249398)
+        (xy 126.938427 83.249074)
+        (xy 126.938426 83.249074)
+        (xy 126.931376 83.249031)
+        (xy 126.924599 83.250968)
+        (xy 126.924598 83.250968)
+        (xy 126.800309 83.28649)
+        (xy 126.800307 83.286491)
+        (xy 126.793529 83.288428)
+        (xy 126.67228 83.36493)
+        (xy 126.667613 83.370214)
+        (xy 126.667611 83.370216)
+        (xy 126.582044 83.467103)
+        (xy 126.582042 83.467105)
+        (xy 126.577377 83.472388)
+        (xy 126.516447 83.602163)
+        (xy 126.494391 83.743823)
+        (xy 110.95 83.743823)
+        (xy 110.95 81.493823)
+        (xy 115.244391 81.493823)
+        (xy 115.245306 81.50082)
+        (xy 115.245306 81.500821)
+        (xy 115.246814 81.512354)
+        (xy 115.26298 81.635979)
+        (xy 115.265821 81.642435)
+        (xy 115.265821 81.642436)
+        (xy 115.273586 81.660082)
+        (xy 115.32072 81.767203)
+        (xy 115.333792 81.782754)
+        (xy 115.408431 81.871549)
+        (xy 115.408434 81.871551)
+        (xy 115.41297 81.876948)
+        (xy 115.418841 81.880856)
+        (xy 115.418842 81.880857)
+        (xy 115.431143 81.889045)
+        (xy 115.532313 81.95639)
+        (xy 115.627248 81.986049)
+        (xy 115.662425 81.997039)
+        (xy 115.662426 81.997039)
+        (xy 115.669157 81.999142)
+        (xy 115.740828 82.000456)
+        (xy 115.805445 82.001641)
+        (xy 115.805447 82.001641)
+        (xy 115.812499 82.00177)
+        (xy 115.819302 81.999915)
+        (xy 115.819304 81.999915)
+        (xy 115.894554 81.979399)
+        (xy 115.950817 81.96406)
+        (xy 116.072991 81.889045)
+        (xy 116.080403 81.880857)
+        (xy 116.164468 81.787982)
+        (xy 116.1692 81.782754)
+        (xy 116.23171 81.653733)
+        (xy 116.235875 81.628982)
+        (xy 116.254862 81.516124)
+        (xy 116.254862 81.51612)
+        (xy 116.255496 81.512354)
+        (xy 116.255647 81.5)
+        (xy 116.235323 81.358082)
+        (xy 116.186986 81.25177)
+        (xy 116.178905 81.233996)
+        (xy 116.178904 81.233995)
+        (xy 116.175984 81.227572)
+        (xy 116.11236 81.153733)
+        (xy 116.087005 81.124307)
+        (xy 116.087004 81.124306)
+        (xy 116.0824 81.118963)
+        (xy 115.962095 81.040985)
+        (xy 115.824739 80.999907)
+        (xy 115.741497 80.999398)
+        (xy 115.688427 80.999074)
+        (xy 115.688426 80.999074)
+        (xy 115.681376 80.999031)
+        (xy 115.674599 81.000968)
+        (xy 115.674598 81.000968)
+        (xy 115.550309 81.03649)
+        (xy 115.550307 81.036491)
+        (xy 115.543529 81.038428)
+        (xy 115.42228 81.11493)
+        (xy 115.417613 81.120214)
+        (xy 115.417611 81.120216)
+        (xy 115.332044 81.217103)
+        (xy 115.332042 81.217105)
+        (xy 115.327377 81.222388)
+        (xy 115.266447 81.352163)
+        (xy 115.265362 81.359132)
+        (xy 115.265361 81.359135)
+        (xy 115.250828 81.452482)
+        (xy 115.244391 81.493823)
+        (xy 110.95 81.493823)
+        (xy 110.95 80.743823)
+        (xy 111.994391 80.743823)
+        (xy 111.995306 80.75082)
+        (xy 111.995306 80.750821)
+        (xy 111.996604 80.760747)
+        (xy 112.01298 80.885979)
+        (xy 112.015821 80.892435)
+        (xy 112.015821 80.892436)
+        (xy 112.063873 81.001641)
+        (xy 112.07072 81.017203)
+        (xy 112.089012 81.038964)
+        (xy 112.158431 81.121549)
+        (xy 112.158434 81.121551)
+        (xy 112.16297 81.126948)
+        (xy 112.168841 81.130856)
+        (xy 112.168842 81.130857)
+        (xy 112.176537 81.135979)
+        (xy 112.282313 81.20639)
+        (xy 112.38292 81.237821)
+        (xy 112.412425 81.247039)
+        (xy 112.412426 81.247039)
+        (xy 112.419157 81.249142)
+        (xy 112.490828 81.250456)
+        (xy 112.555445 81.251641)
+        (xy 112.555447 81.251641)
+        (xy 112.562499 81.25177)
+        (xy 112.569302 81.249915)
+        (xy 112.569304 81.249915)
+        (xy 112.67027 81.222388)
+        (xy 112.700817 81.21406)
+        (xy 112.822991 81.139045)
+        (xy 112.830403 81.130857)
+        (xy 112.914468 81.037982)
+        (xy 112.9192 81.032754)
+        (xy 112.98171 80.903733)
+        (xy 112.985875 80.878982)
+        (xy 113.004862 80.766124)
+        (xy 113.004862 80.76612)
+        (xy 113.005496 80.762354)
+        (xy 113.005647 80.75)
+        (xy 112.986148 80.613843)
+        (xy 112.996615 80.553559)
+        (xy 113.040517 80.510941)
+        (xy 113.085962 80.500825)
+        (xy 113.100565 80.501093)
+        (xy 113.130446 80.501641)
+        (xy 113.130448 80.501641)
+        (xy 113.137499 80.50177)
+        (xy 113.144302 80.499915)
+        (xy 113.144304 80.499915)
+        (xy 113.166649 80.493823)
+        (xy 118.744391 80.493823)
+        (xy 118.745306 80.50082)
+        (xy 118.745306 80.500821)
+        (xy 118.760253 80.615126)
+        (xy 118.76298 80.635979)
+        (xy 118.765821 80.642435)
+        (xy 118.765821 80.642436)
+        (xy 118.813512 80.750821)
+        (xy 118.82072 80.767203)
+        (xy 118.866845 80.822075)
+        (xy 118.908431 80.871549)
+        (xy 118.908434 80.871551)
+        (xy 118.91297 80.876948)
+        (xy 119.032313 80.95639)
+        (xy 119.118974 80.983464)
+        (xy 119.162425 80.997039)
+        (xy 119.162426 80.997039)
+        (xy 119.169157 80.999142)
+        (xy 119.240828 81.000456)
+        (xy 119.305445 81.001641)
+        (xy 119.305447 81.001641)
+        (xy 119.312499 81.00177)
+        (xy 119.319302 80.999915)
+        (xy 119.319304 80.999915)
+        (xy 119.379644 80.983464)
+        (xy 119.440759 80.9864)
+        (xy 119.488476 81.024697)
+        (xy 119.503848 81.066141)
+        (xy 119.51298 81.135979)
+        (xy 119.515821 81.142435)
+        (xy 119.515821 81.142436)
+        (xy 119.563873 81.251641)
+        (xy 119.57072 81.267203)
+        (xy 119.583792 81.282754)
+        (xy 119.658431 81.371549)
+        (xy 119.658434 81.371551)
+        (xy 119.66297 81.376948)
+        (xy 119.668841 81.380856)
+        (xy 119.668842 81.380857)
+        (xy 119.681143 81.389045)
+        (xy 119.782313 81.45639)
+        (xy 119.879809 81.486849)
+        (xy 119.912425 81.497039)
+        (xy 119.912426 81.497039)
+        (xy 119.919157 81.499142)
+        (xy 119.990828 81.500456)
+        (xy 120.055445 81.501641)
+        (xy 120.055447 81.501641)
+        (xy 120.062499 81.50177)
+        (xy 120.069302 81.499915)
+        (xy 120.069304 81.499915)
+        (xy 120.091649 81.493823)
+        (xy 122.244391 81.493823)
+        (xy 122.245306 81.50082)
+        (xy 122.245306 81.500821)
+        (xy 122.246814 81.512354)
+        (xy 122.26298 81.635979)
+        (xy 122.265821 81.642435)
+        (xy 122.265821 81.642436)
+        (xy 122.273586 81.660082)
+        (xy 122.32072 81.767203)
+        (xy 122.333792 81.782754)
+        (xy 122.408431 81.871549)
+        (xy 122.408434 81.871551)
+        (xy 122.41297 81.876948)
+        (xy 122.418841 81.880856)
+        (xy 122.418842 81.880857)
+        (xy 122.431143 81.889045)
+        (xy 122.532313 81.95639)
+        (xy 122.604606 81.978975)
+        (xy 122.605962 81.979399)
+        (xy 122.655867 82.014799)
+        (xy 122.675434 82.072771)
+        (xy 122.657188 82.131173)
+        (xy 122.650657 82.139413)
+        (xy 122.577377 82.222388)
+        (xy 122.516447 82.352163)
+        (xy 122.494391 82.493823)
+        (xy 122.495306 82.50082)
+        (xy 122.495306 82.500821)
+        (xy 122.496814 82.512354)
+        (xy 122.51298 82.635979)
+        (xy 122.515821 82.642435)
+        (xy 122.515821 82.642436)
+        (xy 122.523586 82.660082)
+        (xy 122.57072 82.767203)
+        (xy 122.583792 82.782754)
+        (xy 122.658431 82.871549)
+        (xy 122.658434 82.871551)
+        (xy 122.66297 82.876948)
+        (xy 122.668841 82.880856)
+        (xy 122.668842 82.880857)
+        (xy 122.681143 82.889045)
+        (xy 122.782313 82.95639)
+        (xy 122.88292 82.987821)
+        (xy 122.912425 82.997039)
+        (xy 122.912426 82.997039)
+        (xy 122.919157 82.999142)
+        (xy 122.990828 83.000456)
+        (xy 123.055445 83.001641)
+        (xy 123.055447 83.001641)
+        (xy 123.062499 83.00177)
+        (xy 123.069302 82.999915)
+        (xy 123.069304 82.999915)
+        (xy 123.144503 82.979413)
+        (xy 123.200817 82.96406)
+        (xy 123.322991 82.889045)
+        (xy 123.330403 82.880857)
+        (xy 123.414468 82.787982)
+        (xy 123.4192 82.782754)
+        (xy 123.48171 82.653733)
+        (xy 123.485875 82.628982)
+        (xy 123.504862 82.516124)
+        (xy 123.504862 82.51612)
+        (xy 123.505496 82.512354)
+        (xy 123.505647 82.5)
+        (xy 123.485323 82.358082)
+        (xy 123.425984 82.227572)
+        (xy 123.3324 82.118963)
+        (xy 123.212095 82.040985)
+        (xy 123.144721 82.020836)
+        (xy 123.094387 81.986049)
+        (xy 123.074113 81.92832)
+        (xy 123.091644 81.8697)
+        (xy 123.099688 81.859551)
+        (xy 123.164468 81.787982)
+        (xy 123.1692 81.782754)
+        (xy 123.23171 81.653733)
+        (xy 123.235875 81.628982)
+        (xy 123.254862 81.516124)
+        (xy 123.254862 81.51612)
+        (xy 123.255496 81.512354)
+        (xy 123.255647 81.5)
+        (xy 123.235323 81.358082)
+        (xy 123.186986 81.25177)
+        (xy 123.178905 81.233996)
+        (xy 123.178904 81.233995)
+        (xy 123.175984 81.227572)
+        (xy 123.11236 81.153733)
+        (xy 123.087005 81.124307)
+        (xy 123.087004 81.124306)
+        (xy 123.0824 81.118963)
+        (xy 122.962095 81.040985)
+        (xy 122.824739 80.999907)
+        (xy 122.741497 80.999398)
+        (xy 122.688427 80.999074)
+        (xy 122.688426 80.999074)
+        (xy 122.681376 80.999031)
+        (xy 122.674599 81.000968)
+        (xy 122.674598 81.000968)
+        (xy 122.550309 81.03649)
+        (xy 122.550307 81.036491)
+        (xy 122.543529 81.038428)
+        (xy 122.42228 81.11493)
+        (xy 122.417613 81.120214)
+        (xy 122.417611 81.120216)
+        (xy 122.332044 81.217103)
+        (xy 122.332042 81.217105)
+        (xy 122.327377 81.222388)
+        (xy 122.266447 81.352163)
+        (xy 122.265362 81.359132)
+        (xy 122.265361 81.359135)
+        (xy 122.250828 81.452482)
+        (xy 122.244391 81.493823)
+        (xy 120.091649 81.493823)
+        (xy 120.144503 81.479413)
+        (xy 120.200817 81.46406)
+        (xy 120.322991 81.389045)
+        (xy 120.330403 81.380857)
+        (xy 120.414468 81.287982)
+        (xy 120.4192 81.282754)
+        (xy 120.48171 81.153733)
+        (xy 120.484182 81.139045)
+        (xy 120.504862 81.016124)
+        (xy 120.504862 81.01612)
+        (xy 120.505496 81.012354)
+        (xy 120.505647 81)
+        (xy 120.504762 80.993823)
+        (xy 126.494391 80.993823)
+        (xy 126.495306 81.00082)
+        (xy 126.495306 81.000821)
+        (xy 126.510253 81.115126)
+        (xy 126.51298 81.135979)
+        (xy 126.515821 81.142435)
+        (xy 126.515821 81.142436)
+        (xy 126.563873 81.251641)
+        (xy 126.57072 81.267203)
+        (xy 126.583792 81.282754)
+        (xy 126.658431 81.371549)
+        (xy 126.658434 81.371551)
+        (xy 126.66297 81.376948)
+        (xy 126.668841 81.380856)
+        (xy 126.668842 81.380857)
+        (xy 126.681143 81.389045)
+        (xy 126.782313 81.45639)
+        (xy 126.879809 81.486849)
+        (xy 126.912425 81.497039)
+        (xy 126.912426 81.497039)
+        (xy 126.919157 81.499142)
+        (xy 126.990828 81.500456)
+        (xy 127.055445 81.501641)
+        (xy 127.055447 81.501641)
+        (xy 127.062499 81.50177)
+        (xy 127.069302 81.499915)
+        (xy 127.069304 81.499915)
+        (xy 127.091649 81.493823)
+        (xy 129.244391 81.493823)
+        (xy 129.245306 81.50082)
+        (xy 129.245306 81.500821)
+        (xy 129.246814 81.512354)
+        (xy 129.26298 81.635979)
+        (xy 129.265821 81.642435)
+        (xy 129.265821 81.642436)
+        (xy 129.273586 81.660082)
+        (xy 129.32072 81.767203)
+        (xy 129.333792 81.782754)
+        (xy 129.408431 81.871549)
+        (xy 129.408434 81.871551)
+        (xy 129.41297 81.876948)
+        (xy 129.418841 81.880856)
+        (xy 129.418842 81.880857)
+        (xy 129.431143 81.889045)
+        (xy 129.532313 81.95639)
+        (xy 129.627248 81.986049)
+        (xy 129.662425 81.997039)
+        (xy 129.662426 81.997039)
+        (xy 129.669157 81.999142)
+        (xy 129.740828 82.000456)
+        (xy 129.805445 82.001641)
+        (xy 129.805447 82.001641)
+        (xy 129.812499 82.00177)
+        (xy 129.819302 81.999915)
+        (xy 129.819304 81.999915)
+        (xy 129.894554 81.979399)
+        (xy 129.950817 81.96406)
+        (xy 130.072991 81.889045)
+        (xy 130.080403 81.880857)
+        (xy 130.164468 81.787982)
+        (xy 130.1692 81.782754)
+        (xy 130.23171 81.653733)
+        (xy 130.235875 81.628982)
+        (xy 130.254862 81.516124)
+        (xy 130.254862 81.51612)
+        (xy 130.255496 81.512354)
+        (xy 130.255647 81.5)
+        (xy 130.235323 81.358082)
+        (xy 130.186986 81.25177)
+        (xy 130.178905 81.233996)
+        (xy 130.178904 81.233995)
+        (xy 130.175984 81.227572)
+        (xy 130.11236 81.153733)
+        (xy 130.087005 81.124307)
+        (xy 130.087004 81.124306)
+        (xy 130.0824 81.118963)
+        (xy 129.962095 81.040985)
+        (xy 129.824739 80.999907)
+        (xy 129.741497 80.999398)
+        (xy 129.688427 80.999074)
+        (xy 129.688426 80.999074)
+        (xy 129.681376 80.999031)
+        (xy 129.674599 81.000968)
+        (xy 129.674598 81.000968)
+        (xy 129.550309 81.03649)
+        (xy 129.550307 81.036491)
+        (xy 129.543529 81.038428)
+        (xy 129.42228 81.11493)
+        (xy 129.417613 81.120214)
+        (xy 129.417611 81.120216)
+        (xy 129.332044 81.217103)
+        (xy 129.332042 81.217105)
+        (xy 129.327377 81.222388)
+        (xy 129.266447 81.352163)
+        (xy 129.265362 81.359132)
+        (xy 129.265361 81.359135)
+        (xy 129.250828 81.452482)
+        (xy 129.244391 81.493823)
+        (xy 127.091649 81.493823)
+        (xy 127.144503 81.479413)
+        (xy 127.200817 81.46406)
+        (xy 127.322991 81.389045)
+        (xy 127.330403 81.380857)
+        (xy 127.414468 81.287982)
+        (xy 127.4192 81.282754)
+        (xy 127.48171 81.153733)
+        (xy 127.484182 81.139045)
+        (xy 127.504862 81.016124)
+        (xy 127.504862 81.01612)
+        (xy 127.505496 81.012354)
+        (xy 127.505647 81)
+        (xy 127.485323 80.858082)
+        (xy 127.444003 80.767203)
+        (xy 127.428905 80.733996)
+        (xy 127.428904 80.733995)
+        (xy 127.425984 80.727572)
+        (xy 127.3324 80.618963)
+        (xy 127.212095 80.540985)
+        (xy 127.074739 80.499907)
+        (xy 126.991497 80.499398)
+        (xy 126.938427 80.499074)
+        (xy 126.938426 80.499074)
+        (xy 126.931376 80.499031)
+        (xy 126.924599 80.500968)
+        (xy 126.924598 80.500968)
+        (xy 126.800309 80.53649)
+        (xy 126.800307 80.536491)
+        (xy 126.793529 80.538428)
+        (xy 126.67228 80.61493)
+        (xy 126.667613 80.620214)
+        (xy 126.667611 80.620216)
+        (xy 126.582044 80.717103)
+        (xy 126.582042 80.717105)
+        (xy 126.577377 80.722388)
+        (xy 126.574381 80.72877)
+        (xy 126.57438 80.728771)
+        (xy 126.562617 80.753826)
+        (xy 126.516447 80.852163)
+        (xy 126.515362 80.859132)
+        (xy 126.515361 80.859135)
+        (xy 126.507429 80.910082)
+        (xy 126.494391 80.993823)
+        (xy 120.504762 80.993823)
+        (xy 120.485323 80.858082)
+        (xy 120.444003 80.767203)
+        (xy 120.428905 80.733996)
+        (xy 120.428904 80.733995)
+        (xy 120.425984 80.727572)
+        (xy 120.3324 80.618963)
+        (xy 120.212095 80.540985)
+        (xy 120.074739 80.499907)
+        (xy 119.991497 80.499398)
+        (xy 119.938427 80.499074)
+        (xy 119.938426 80.499074)
+        (xy 119.931376 80.499031)
+        (xy 119.924599 80.500968)
+        (xy 119.924598 80.500968)
+        (xy 119.87154 80.516132)
+        (xy 119.810394 80.513943)
+        (xy 119.762212 80.476231)
+        (xy 119.746335 80.434977)
+        (xy 119.739008 80.383814)
+        (xy 119.735323 80.358082)
+        (xy 119.686986 80.25177)
+        (xy 119.678905 80.233996)
+        (xy 119.678904 80.233995)
+        (xy 119.675984 80.227572)
+        (xy 119.61236 80.153733)
+        (xy 119.587005 80.124307)
+        (xy 119.587004 80.124306)
+        (xy 119.5824 80.118963)
+        (xy 119.462095 80.040985)
+        (xy 119.324739 79.999907)
+        (xy 119.241497 79.999398)
+        (xy 119.188427 79.999074)
+        (xy 119.188426 79.999074)
+        (xy 119.181376 79.999031)
+        (xy 119.174599 80.000968)
+        (xy 119.174598 80.000968)
+        (xy 119.050309 80.03649)
+        (xy 119.050307 80.036491)
+        (xy 119.043529 80.038428)
+        (xy 118.92228 80.11493)
+        (xy 118.917613 80.120214)
+        (xy 118.917611 80.120216)
+        (xy 118.832044 80.217103)
+        (xy 118.832042 80.217105)
+        (xy 118.827377 80.222388)
+        (xy 118.824381 80.22877)
+        (xy 118.82438 80.228771)
+        (xy 118.813643 80.251641)
+        (xy 118.766447 80.352163)
+        (xy 118.765362 80.359132)
+        (xy 118.765361 80.359135)
+        (xy 118.753553 80.434977)
+        (xy 118.744391 80.493823)
+        (xy 113.166649 80.493823)
+        (xy 113.225093 80.477889)
+        (xy 113.275817 80.46406)
+        (xy 113.397991 80.389045)
+        (xy 113.4942 80.282754)
+        (xy 113.55671 80.153733)
+        (xy 113.559182 80.139045)
+        (xy 113.579862 80.016124)
+        (xy 113.579862 80.01612)
+        (xy 113.580496 80.012354)
+        (xy 113.580647 80)
+        (xy 113.560323 79.858082)
+        (xy 113.538543 79.81018)
+        (xy 113.508373 79.743823)
+        (xy 120.189391 79.743823)
+        (xy 120.190306 79.75082)
+        (xy 120.190306 79.750821)
+        (xy 120.191814 79.762354)
+        (xy 120.20798 79.885979)
+        (xy 120.210821 79.892435)
+        (xy 120.210821 79.892436)
+        (xy 120.258512 80.000821)
+        (xy 120.26572 80.017203)
+        (xy 120.284012 80.038964)
+        (xy 120.353431 80.121549)
+        (xy 120.353434 80.121551)
+        (xy 120.35797 80.126948)
+        (xy 120.363841 80.130856)
+        (xy 120.363842 80.130857)
+        (xy 120.371537 80.135979)
+        (xy 120.477313 80.20639)
+        (xy 120.57792 80.237821)
+        (xy 120.607425 80.247039)
+        (xy 120.607426 80.247039)
+        (xy 120.614157 80.249142)
+        (xy 120.685828 80.250456)
+        (xy 120.750445 80.251641)
+        (xy 120.750447 80.251641)
+        (xy 120.757499 80.25177)
+        (xy 120.764302 80.249915)
+        (xy 120.764304 80.249915)
+        (xy 120.86527 80.222388)
+        (xy 120.895817 80.21406)
+        (xy 121.017991 80.139045)
+        (xy 121.025403 80.130857)
+        (xy 121.109468 80.037982)
+        (xy 121.1142 80.032754)
+        (xy 121.17671 79.903733)
+        (xy 121.180875 79.878982)
+        (xy 121.199862 79.766124)
+        (xy 121.199862 79.76612)
+        (xy 121.200496 79.762354)
+        (xy 121.200647 79.75)
+        (xy 121.180323 79.608082)
+        (xy 121.147772 79.53649)
+        (xy 121.123905 79.483996)
+        (xy 121.123904 79.483995)
+        (xy 121.120984 79.477572)
+        (xy 121.0274 79.368963)
+        (xy 120.907095 79.290985)
+        (xy 120.769739 79.249907)
+        (xy 120.686497 79.249398)
+        (xy 120.633427 79.249074)
+        (xy 120.633426 79.249074)
+        (xy 120.626376 79.249031)
+        (xy 120.619599 79.250968)
+        (xy 120.619598 79.250968)
+        (xy 120.495309 79.28649)
+        (xy 120.495307 79.286491)
+        (xy 120.488529 79.288428)
+        (xy 120.36728 79.36493)
+        (xy 120.362613 79.370214)
+        (xy 120.362611 79.370216)
+        (xy 120.277044 79.467103)
+        (xy 120.277042 79.467105)
+        (xy 120.272377 79.472388)
+        (xy 120.269381 79.47877)
+        (xy 120.26938 79.478771)
+        (xy 120.258507 79.501929)
+        (xy 120.211447 79.602163)
+        (xy 120.210362 79.609132)
+        (xy 120.210361 79.609135)
+        (xy 120.208831 79.618963)
+        (xy 120.189391 79.743823)
+        (xy 113.508373 79.743823)
+        (xy 113.503905 79.733996)
+        (xy 113.503904 79.733995)
+        (xy 113.500984 79.727572)
+        (xy 113.4074 79.618963)
+        (xy 113.287095 79.540985)
+        (xy 113.149739 79.499907)
+        (xy 113.066497 79.499398)
+        (xy 113.013427 79.499074)
+        (xy 113.013426 79.499074)
+        (xy 113.006376 79.499031)
+        (xy 112.999599 79.500968)
+        (xy 112.999598 79.500968)
+        (xy 112.875309 79.53649)
+        (xy 112.875307 79.536491)
+        (xy 112.868529 79.538428)
+        (xy 112.74728 79.61493)
+        (xy 112.742613 79.620214)
+        (xy 112.742611 79.620216)
+        (xy 112.657044 79.717103)
+        (xy 112.657042 79.717105)
+        (xy 112.652377 79.722388)
+        (xy 112.649381 79.72877)
+        (xy 112.64938 79.728771)
+        (xy 112.637617 79.753826)
+        (xy 112.591447 79.852163)
+        (xy 112.590362 79.859132)
+        (xy 112.590361 79.859135)
+        (xy 112.582429 79.910082)
+        (xy 112.569391 79.993823)
+        (xy 112.570306 80.00082)
+        (xy 112.570306 80.000821)
+        (xy 112.58798 80.135979)
+        (xy 112.585085 80.136358)
+        (xy 112.583036 80.184923)
+        (xy 112.545018 80.232863)
+        (xy 112.48965 80.249387)
+        (xy 112.438427 80.249074)
+        (xy 112.438426 80.249074)
+        (xy 112.431376 80.249031)
+        (xy 112.424599 80.250968)
+        (xy 112.424598 80.250968)
+        (xy 112.300309 80.28649)
+        (xy 112.300307 80.286491)
+        (xy 112.293529 80.288428)
+        (xy 112.17228 80.36493)
+        (xy 112.167613 80.370214)
+        (xy 112.167611 80.370216)
+        (xy 112.082044 80.467103)
+        (xy 112.082042 80.467105)
+        (xy 112.077377 80.472388)
+        (xy 112.074381 80.47877)
+        (xy 112.07438 80.478771)
+        (xy 112.063643 80.501641)
+        (xy 112.016447 80.602163)
+        (xy 112.015362 80.609132)
+        (xy 112.015361 80.609135)
+        (xy 112.00068 80.70343)
+        (xy 111.994391 80.743823)
+        (xy 110.95 80.743823)
+        (xy 110.95 77.243823)
+        (xy 130.244391 77.243823)
+        (xy 130.245306 77.25082)
+        (xy 130.245306 77.250821)
+        (xy 130.246814 77.262354)
+        (xy 130.26298 77.385979)
+        (xy 130.265821 77.392435)
+        (xy 130.265821 77.392436)
+        (xy 130.273586 77.410082)
+        (xy 130.32072 77.517203)
+        (xy 130.333792 77.532754)
+        (xy 130.408431 77.621549)
+        (xy 130.408434 77.621551)
+        (xy 130.41297 77.626948)
+        (xy 130.418841 77.630856)
+        (xy 130.418842 77.630857)
+        (xy 130.431143 77.639045)
+        (xy 130.532313 77.70639)
+        (xy 130.63292 77.737821)
+        (xy 130.662425 77.747039)
+        (xy 130.662426 77.747039)
+        (xy 130.669157 77.749142)
+        (xy 130.740828 77.750456)
+        (xy 130.805445 77.751641)
+        (xy 130.805447 77.751641)
+        (xy 130.812499 77.75177)
+        (xy 130.819302 77.749915)
+        (xy 130.819304 77.749915)
+        (xy 130.950817 77.71406)
+        (xy 130.951381 77.716128)
+        (xy 131.002237 77.711321)
+        (xy 131.054902 77.742468)
+        (xy 131.064687 77.75562)
+        (xy 131.067881 77.760751)
+        (xy 131.07072 77.767203)
+        (xy 131.075257 77.7726)
+        (xy 131.158431 77.871549)
+        (xy 131.158434 77.871551)
+        (xy 131.16297 77.876948)
+        (xy 131.168841 77.880856)
+        (xy 131.168842 77.880857)
+        (xy 131.181143 77.889045)
+        (xy 131.282313 77.95639)
+        (xy 131.38292 77.987821)
+        (xy 131.412425 77.997039)
+        (xy 131.412426 77.997039)
+        (xy 131.419157 77.999142)
+        (xy 131.490828 78.000456)
+        (xy 131.555445 78.001641)
+        (xy 131.555447 78.001641)
+        (xy 131.562499 78.00177)
+        (xy 131.569302 77.999915)
+        (xy 131.569304 77.999915)
+        (xy 131.644503 77.979413)
+        (xy 131.700817 77.96406)
+        (xy 131.822991 77.889045)
+        (xy 131.830403 77.880857)
+        (xy 131.914468 77.787982)
+        (xy 131.9192 77.782754)
+        (xy 131.926735 77.767203)
+        (xy 131.929011 77.762505)
+        (xy 131.971398 77.71838)
+        (xy 132.031626 77.707598)
+        (xy 132.047624 77.711173)
+        (xy 132.070692 77.71838)
+        (xy 132.162425 77.747039)
+        (xy 132.162426 77.747039)
+        (xy 132.169157 77.749142)
+        (xy 132.240828 77.750456)
+        (xy 132.305445 77.751641)
+        (xy 132.305447 77.751641)
+        (xy 132.312499 77.75177)
+        (xy 132.319302 77.749915)
+        (xy 132.319304 77.749915)
+        (xy 132.394503 77.729413)
+        (xy 132.450817 77.71406)
+        (xy 132.572991 77.639045)
+        (xy 132.580403 77.630857)
+        (xy 132.664468 77.537982)
+        (xy 132.6692 77.532754)
+        (xy 132.73171 77.403733)
+        (xy 132.735875 77.378982)
+        (xy 132.754862 77.266124)
+        (xy 132.754862 77.26612)
+        (xy 132.755496 77.262354)
+        (xy 132.755647 77.25)
+        (xy 132.735323 77.108082)
+        (xy 132.69991 77.030194)
+        (xy 132.678905 76.983996)
+        (xy 132.678904 76.983995)
+        (xy 132.675984 76.977572)
+        (xy 132.5824 76.868963)
+        (xy 132.462095 76.790985)
+        (xy 132.324739 76.749907)
+        (xy 132.241497 76.749398)
+        (xy 132.188427 76.749074)
+        (xy 132.188426 76.749074)
+        (xy 132.181376 76.749031)
+        (xy 132.174599 76.750968)
+        (xy 132.174598 76.750968)
+        (xy 132.050309 76.78649)
+        (xy 132.050307 76.786491)
+        (xy 132.043529 76.788428)
+        (xy 131.92228 76.86493)
+        (xy 131.917613 76.870214)
+        (xy 131.917611 76.870216)
+        (xy 131.832047 76.9671)
+        (xy 131.827377 76.972388)
+        (xy 131.824378 76.978775)
+        (xy 131.824377 76.978777)
+        (xy 131.821193 76.985557)
+        (xy 131.779346 77.030194)
+        (xy 131.719254 77.04171)
+        (xy 131.703214 77.038329)
+        (xy 131.5815 77.001929)
+        (xy 131.574739 76.999907)
+        (xy 131.491497 76.999398)
+        (xy 131.438427 76.999074)
+        (xy 131.438426 76.999074)
+        (xy 131.431376 76.999031)
+        (xy 131.424599 77.000968)
+        (xy 131.424598 77.000968)
+        (xy 131.296009 77.037719)
+        (xy 131.234863 77.03553)
+        (xy 131.186681 76.997818)
+        (xy 131.17931 76.984629)
+        (xy 131.178904 76.983994)
+        (xy 131.175984 76.977572)
+        (xy 131.0824 76.868963)
+        (xy 130.962095 76.790985)
+        (xy 130.824739 76.749907)
+        (xy 130.741497 76.749398)
+        (xy 130.688427 76.749074)
+        (xy 130.688426 76.749074)
+        (xy 130.681376 76.749031)
+        (xy 130.674599 76.750968)
+        (xy 130.674598 76.750968)
+        (xy 130.550309 76.78649)
+        (xy 130.550307 76.786491)
+        (xy 130.543529 76.788428)
+        (xy 130.42228 76.86493)
+        (xy 130.417613 76.870214)
+        (xy 130.417611 76.870216)
+        (xy 130.332044 76.967103)
+        (xy 130.332042 76.967105)
+        (xy 130.327377 76.972388)
+        (xy 130.324381 76.97877)
+        (xy 130.32438 76.978771)
+        (xy 130.313507 77.001929)
+        (xy 130.266447 77.102163)
+        (xy 130.244391 77.243823)
+        (xy 110.95 77.243823)
+        (xy 110.95 76.53396)
+        (xy 110.952518 76.511773)
+        (xy 110.952562 76.511583)
+        (xy 110.955143 76.500358)
+        (xy 110.952682 76.489484)
+        (xy 110.952702 76.478335)
+        (xy 110.953716 76.478337)
+        (xy 110.953105 76.468476)
+        (xy 110.964416 76.353632)
+        (xy 110.968202 76.334598)
+        (xy 111.008066 76.203183)
+        (xy 111.015493 76.185252)
+        (xy 111.046078 76.128033)
+        (xy 111.080228 76.064143)
+        (xy 111.091008 76.048009)
+        (xy 111.168546 75.953527)
+        (xy 111.178131 75.941848)
+        (xy 111.191848 75.928131)
+        (xy 111.298009 75.841008)
+        (xy 111.314144 75.830227)
+        (xy 111.384771 75.792476)
+        (xy 111.435255 75.765492)
+        (xy 111.453183 75.758066)
+        (xy 111.518891 75.738134)
+        (xy 111.5846 75.718202)
+        (xy 111.60363 75.714416)
+        (xy 111.718499 75.703103)
+        (xy 111.728371 75.702626)
+        (xy 111.738775 75.702644)
+        (xy 111.749642 75.705143)
+        (xy 111.761583 75.702441)
+        (xy 111.783432 75.7)
+        (xy 132.96604 75.7)
+      )
+    )
+  )
+  (zone (net 5) (net_name "+5VA") (layer "In2.Cu") (tstamp 1d6d413e-3f60-4215-89c3-71b4a8929f1c) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 110.25 91.25)
+        (xy 124.25 91.25)
+        (xy 124.25 95.75)
+        (xy 125 96.5)
+        (xy 125 108)
+        (xy 96.5 108)
+        (xy 96.5 87.5)
+        (xy 103.5 87.5)
+        (xy 105.25 85.75)
+        (xy 110.25 85.75)
+      )
+    )
+    (filled_polygon
+      (layer "In2.Cu")
+      (pts
+        (xy 109.254843 85.750477)
+        (xy 109.435388 85.768259)
+        (xy 109.454418 85.772045)
+        (xy 109.527017 85.794067)
+        (xy 109.623353 85.82329)
+        (xy 109.641283 85.830717)
+        (xy 109.796969 85.913933)
+        (xy 109.813106 85.924715)
+        (xy 109.949573 86.03671)
+        (xy 109.96329 86.050427)
+        (xy 110.016387 86.115126)
+        (xy 110.075285 86.186894)
+        (xy 110.086067 86.203031)
+        (xy 110.169283 86.358717)
+        (xy 110.17671 86.376647)
+        (xy 110.212979 86.496209)
+        (xy 110.227955 86.54558)
+        (xy 110.231741 86.564614)
+        (xy 110.249523 86.745157)
+        (xy 110.25 86.754861)
+        (xy 110.25 91.25)
+        (xy 123.245139 91.25)
+        (xy 123.254843 91.250477)
+        (xy 123.435388 91.268259)
+        (xy 123.454418 91.272045)
+        (xy 123.506964 91.287984)
+        (xy 123.623353 91.32329)
+        (xy 123.641283 91.330717)
+        (xy 123.796969 91.413933)
+        (xy 123.813106 91.424715)
+        (xy 123.949573 91.53671)
+        (xy 123.96329 91.550427)
+        (xy 123.990241 91.583267)
+        (xy 124.075285 91.686894)
+        (xy 124.086067 91.703031)
+        (xy 124.169283 91.858717)
+        (xy 124.17671 91.876647)
+        (xy 124.22214 92.026409)
+        (xy 124.227955 92.04558)
+        (xy 124.231741 92.064612)
+        (xy 124.24676 92.217103)
+        (xy 124.249523 92.245157)
+        (xy 124.25 92.254861)
+        (xy 124.25 92.919382)
+        (xy 124.231093 92.977573)
+        (xy 124.181593 93.013537)
+        (xy 124.122635 93.014231)
+        (xy 124.074739 92.999907)
+        (xy 123.991497 92.999398)
+        (xy 123.938427 92.999074)
+        (xy 123.938426 92.999074)
+        (xy 123.931376 92.999031)
+        (xy 123.924599 93.000968)
+        (xy 123.924598 93.000968)
+        (xy 123.800309 93.03649)
+        (xy 123.800307 93.036491)
+        (xy 123.793529 93.038428)
+        (xy 123.67228 93.11493)
+        (xy 123.667613 93.120214)
+        (xy 123.667611 93.120216)
+        (xy 123.582044 93.217103)
+        (xy 123.582042 93.217105)
+        (xy 123.577377 93.222388)
+        (xy 123.516447 93.352163)
+        (xy 123.515362 93.359132)
+        (xy 123.515361 93.359135)
+        (xy 123.500828 93.452482)
+        (xy 123.494391 93.493823)
+        (xy 123.495306 93.50082)
+        (xy 123.495306 93.500821)
+        (xy 123.510253 93.615126)
+        (xy 123.51298 93.635979)
+        (xy 123.515821 93.642435)
+        (xy 123.515821 93.642436)
+        (xy 123.556109 93.733996)
+        (xy 123.57072 93.767203)
+        (xy 123.583792 93.782754)
+        (xy 123.658431 93.871549)
+        (xy 123.658434 93.871551)
+        (xy 123.66297 93.876948)
+        (xy 123.782313 93.95639)
+        (xy 123.873061 93.984741)
+        (xy 123.912425 93.997039)
+        (xy 123.912426 93.997039)
+        (xy 123.919157 93.999142)
+        (xy 123.990828 94.000456)
+        (xy 124.055445 94.001641)
+        (xy 124.055447 94.001641)
+        (xy 124.062499 94.00177)
+        (xy 124.069302 93.999915)
+        (xy 124.069304 93.999915)
+        (xy 124.12496 93.984741)
+        (xy 124.186075 93.987677)
+        (xy 124.233792 94.025974)
+        (xy 124.25 94.080255)
+        (xy 124.25 95.75)
+        (xy 124.703672 96.203672)
+        (xy 124.710196 96.210871)
+        (xy 124.825285 96.351107)
+        (xy 124.836067 96.367244)
+        (xy 124.919283 96.52293)
+        (xy 124.92671 96.54086)
+        (xy 124.977955 96.709793)
+        (xy 124.981741 96.728825)
+        (xy 124.993259 96.845776)
+        (xy 124.999523 96.909371)
+        (xy 125 96.919075)
+        (xy 125 106.995139)
+        (xy 124.999523 107.004843)
+        (xy 124.985942 107.142737)
+        (xy 124.981741 107.185386)
+        (xy 124.977955 107.20442)
+        (xy 124.92671 107.373353)
+        (xy 124.919283 107.391283)
+        (xy 124.836067 107.546969)
+        (xy 124.825285 107.563106)
+        (xy 124.71329 107.699573)
+        (xy 124.699573 107.71329)
+        (xy 124.67372 107.734507)
+        (xy 124.621297 107.777529)
+        (xy 124.558493 107.8)
+        (xy 97.53396 107.8)
+        (xy 97.511773 107.797482)
+        (xy 97.500358 107.794857)
+        (xy 97.489484 107.797318)
+        (xy 97.478335 107.797298)
+        (xy 97.478337 107.796284)
+        (xy 97.468476 107.796895)
+        (xy 97.412462 107.791378)
+        (xy 97.35363 107.785584)
+        (xy 97.3346 107.781798)
+        (xy 97.268891 107.761866)
+        (xy 97.203183 107.741934)
+        (xy 97.185255 107.734508)
+        (xy 97.064143 107.669772)
+        (xy 97.048009 107.658992)
+        (xy 97.022947 107.638425)
+        (xy 96.941848 107.571869)
+        (xy 96.928131 107.558152)
+        (xy 96.841008 107.451991)
+        (xy 96.830227 107.435856)
+        (xy 96.806402 107.391283)
+        (xy 96.765492 107.314745)
+        (xy 96.758066 107.296817)
+        (xy 96.731273 107.208492)
+        (xy 96.718202 107.1654)
+        (xy 96.714416 107.146368)
+        (xy 96.703103 107.031501)
+        (xy 96.702626 107.021629)
+        (xy 96.702644 107.011225)
+        (xy 96.705143 107.000358)
+        (xy 96.702441 106.988417)
+        (xy 96.7 106.966568)
+        (xy 96.7 106.743823)
+        (xy 107.994391 106.743823)
+        (xy 107.995306 106.75082)
+        (xy 107.995306 106.750821)
+        (xy 107.996814 106.762354)
+        (xy 108.01298 106.885979)
+        (xy 108.015821 106.892435)
+        (xy 108.015821 106.892436)
+        (xy 108.061012 106.995139)
+        (xy 108.07072 107.017203)
+        (xy 108.082744 107.031507)
+        (xy 108.158431 107.121549)
+        (xy 108.158434 107.121551)
+        (xy 108.16297 107.126948)
+        (xy 108.168841 107.130856)
+        (xy 108.168842 107.130857)
+        (xy 108.181143 107.139045)
+        (xy 108.282313 107.20639)
+        (xy 108.38292 107.237821)
+        (xy 108.412425 107.247039)
+        (xy 108.412426 107.247039)
+        (xy 108.419157 107.249142)
+        (xy 108.490828 107.250456)
+        (xy 108.555445 107.251641)
+        (xy 108.555447 107.251641)
+        (xy 108.562499 107.25177)
+        (xy 108.569302 107.249915)
+        (xy 108.569304 107.249915)
+        (xy 108.644503 107.229413)
+        (xy 108.700817 107.21406)
+        (xy 108.822991 107.139045)
+        (xy 108.830403 107.130857)
+        (xy 108.914468 107.037982)
+        (xy 108.9192 107.032754)
+        (xy 108.98171 106.903733)
+        (xy 108.985875 106.878982)
+        (xy 109.004862 106.766124)
+        (xy 109.004862 106.76612)
+        (xy 109.005496 106.762354)
+        (xy 109.005647 106.75)
+        (xy 109.004762 106.743823)
+        (xy 113.994391 106.743823)
+        (xy 113.995306 106.75082)
+        (xy 113.995306 106.750821)
+        (xy 113.996814 106.762354)
+        (xy 114.01298 106.885979)
+        (xy 114.015821 106.892435)
+        (xy 114.015821 106.892436)
+        (xy 114.061012 106.995139)
+        (xy 114.07072 107.017203)
+        (xy 114.082744 107.031507)
+        (xy 114.158431 107.121549)
+        (xy 114.158434 107.121551)
+        (xy 114.16297 107.126948)
+        (xy 114.168841 107.130856)
+        (xy 114.168842 107.130857)
+        (xy 114.181143 107.139045)
+        (xy 114.282313 107.20639)
+        (xy 114.38292 107.237821)
+        (xy 114.412425 107.247039)
+        (xy 114.412426 107.247039)
+        (xy 114.419157 107.249142)
+        (xy 114.490828 107.250456)
+        (xy 114.555445 107.251641)
+        (xy 114.555447 107.251641)
+        (xy 114.562499 107.25177)
+        (xy 114.569302 107.249915)
+        (xy 114.569304 107.249915)
+        (xy 114.644503 107.229413)
+        (xy 114.700817 107.21406)
+        (xy 114.822991 107.139045)
+        (xy 114.830403 107.130857)
+        (xy 114.914468 107.037982)
+        (xy 114.9192 107.032754)
+        (xy 114.98171 106.903733)
+        (xy 114.985875 106.878982)
+        (xy 115.004862 106.766124)
+        (xy 115.004862 106.76612)
+        (xy 115.005496 106.762354)
+        (xy 115.005647 106.75)
+        (xy 115.004762 106.743823)
+        (xy 119.994391 106.743823)
+        (xy 119.995306 106.75082)
+        (xy 119.995306 106.750821)
+        (xy 119.996814 106.762354)
+        (xy 120.01298 106.885979)
+        (xy 120.015821 106.892435)
+        (xy 120.015821 106.892436)
+        (xy 120.061012 106.995139)
+        (xy 120.07072 107.017203)
+        (xy 120.082744 107.031507)
+        (xy 120.158431 107.121549)
+        (xy 120.158434 107.121551)
+        (xy 120.16297 107.126948)
+        (xy 120.168841 107.130856)
+        (xy 120.168842 107.130857)
+        (xy 120.181143 107.139045)
+        (xy 120.282313 107.20639)
+        (xy 120.38292 107.237821)
+        (xy 120.412425 107.247039)
+        (xy 120.412426 107.247039)
+        (xy 120.419157 107.249142)
+        (xy 120.490828 107.250456)
+        (xy 120.555445 107.251641)
+        (xy 120.555447 107.251641)
+        (xy 120.562499 107.25177)
+        (xy 120.569302 107.249915)
+        (xy 120.569304 107.249915)
+        (xy 120.644503 107.229413)
+        (xy 120.700817 107.21406)
+        (xy 120.822991 107.139045)
+        (xy 120.830403 107.130857)
+        (xy 120.914468 107.037982)
+        (xy 120.9192 107.032754)
+        (xy 120.98171 106.903733)
+        (xy 120.985875 106.878982)
+        (xy 121.004862 106.766124)
+        (xy 121.004862 106.76612)
+        (xy 121.005496 106.762354)
+        (xy 121.005647 106.75)
+        (xy 120.985323 106.608082)
+        (xy 120.925984 106.477572)
+        (xy 120.8324 106.368963)
+        (xy 120.712095 106.290985)
+        (xy 120.574739 106.249907)
+        (xy 120.491497 106.249398)
+        (xy 120.438427 106.249074)
+        (xy 120.438426 106.249074)
+        (xy 120.431376 106.249031)
+        (xy 120.424599 106.250968)
+        (xy 120.424598 106.250968)
+        (xy 120.300309 106.28649)
+        (xy 120.300307 106.286491)
+        (xy 120.293529 106.288428)
+        (xy 120.17228 106.36493)
+        (xy 120.167613 106.370214)
+        (xy 120.167611 106.370216)
+        (xy 120.082044 106.467103)
+        (xy 120.082042 106.467105)
+        (xy 120.077377 106.472388)
+        (xy 120.016447 106.602163)
+        (xy 119.994391 106.743823)
+        (xy 115.004762 106.743823)
+        (xy 114.985323 106.608082)
+        (xy 114.925984 106.477572)
+        (xy 114.8324 106.368963)
+        (xy 114.712095 106.290985)
+        (xy 114.574739 106.249907)
+        (xy 114.491497 106.249398)
+        (xy 114.438427 106.249074)
+        (xy 114.438426 106.249074)
+        (xy 114.431376 106.249031)
+        (xy 114.424599 106.250968)
+        (xy 114.424598 106.250968)
+        (xy 114.300309 106.28649)
+        (xy 114.300307 106.286491)
+        (xy 114.293529 106.288428)
+        (xy 114.17228 106.36493)
+        (xy 114.167613 106.370214)
+        (xy 114.167611 106.370216)
+        (xy 114.082044 106.467103)
+        (xy 114.082042 106.467105)
+        (xy 114.077377 106.472388)
+        (xy 114.016447 106.602163)
+        (xy 113.994391 106.743823)
+        (xy 109.004762 106.743823)
+        (xy 108.985323 106.608082)
+        (xy 108.925984 106.477572)
+        (xy 108.8324 106.368963)
+        (xy 108.712095 106.290985)
+        (xy 108.574739 106.249907)
+        (xy 108.491497 106.249398)
+        (xy 108.438427 106.249074)
+        (xy 108.438426 106.249074)
+        (xy 108.431376 106.249031)
+        (xy 108.424599 106.250968)
+        (xy 108.424598 106.250968)
+        (xy 108.300309 106.28649)
+        (xy 108.300307 106.286491)
+        (xy 108.293529 106.288428)
+        (xy 108.17228 106.36493)
+        (xy 108.167613 106.370214)
+        (xy 108.167611 106.370216)
+        (xy 108.082044 106.467103)
+        (xy 108.082042 106.467105)
+        (xy 108.077377 106.472388)
+        (xy 108.016447 106.602163)
+        (xy 107.994391 106.743823)
+        (xy 96.7 106.743823)
+        (xy 96.7 103.785262)
+        (xy 97.69452 103.785262)
+        (xy 97.711759 103.990553)
+        (xy 97.768544 104.188586)
+        (xy 97.862712 104.371818)
+        (xy 97.990677 104.53327)
+        (xy 97.994357 104.536402)
+        (xy 97.994359 104.536404)
+        (xy 98.107017 104.632283)
+        (xy 98.147564 104.666791)
+        (xy 98.151787 104.669151)
+        (xy 98.151791 104.669154)
+        (xy 98.191342 104.691258)
+        (xy 98.327398 104.767297)
+        (xy 98.331996 104.768791)
+        (xy 98.518724 104.829463)
+        (xy 98.518726 104.829464)
+        (xy 98.523329 104.830959)
+        (xy 98.727894 104.855351)
+        (xy 98.732716 104.85498)
+        (xy 98.732719 104.85498)
+        (xy 98.803259 104.849552)
+        (xy 98.9333 104.839546)
+        (xy 99.131725 104.784145)
+        (xy 99.136038 104.781966)
+        (xy 99.136044 104.781964)
+        (xy 99.311289 104.693441)
+        (xy 99.311291 104.69344)
+        (xy 99.31561 104.691258)
+        (xy 99.343142 104.669748)
+        (xy 100.2395 104.669748)
+        (xy 100.251133 104.728231)
+        (xy 100.295448 104.794552)
+        (xy 100.361769 104.838867)
+        (xy 100.371332 104.840769)
+        (xy 100.371334 104.84077)
+        (xy 100.394005 104.845279)
+        (xy 100.420252 104.8505)
+        (xy 102.159748 104.8505)
+        (xy 102.185995 104.845279)
+        (xy 102.208666 104.84077)
+        (xy 102.208668 104.840769)
+        (xy 102.218231 104.838867)
+        (xy 102.284552 104.794552)
+        (xy 102.328867 104.728231)
+        (xy 102.3405 104.669748)
+        (xy 102.3405 103.368823)
+        (xy 111.299391 103.368823)
+        (xy 111.300306 103.37582)
+        (xy 111.300306 103.375821)
+        (xy 111.303779 103.40238)
+        (xy 111.31798 103.510979)
+        (xy 111.320821 103.517435)
+        (xy 111.320821 103.517436)
+        (xy 111.352901 103.590342)
+        (xy 111.37572 103.642203)
+        (xy 111.388792 103.657754)
+        (xy 111.463431 103.746549)
+        (xy 111.463434 103.746551)
+        (xy 111.46797 103.751948)
+        (xy 111.473841 103.755856)
+        (xy 111.473842 103.755857)
+        (xy 111.486143 103.764045)
+        (xy 111.587313 103.83139)
+        (xy 111.68792 103.862821)
+        (xy 111.717425 103.872039)
+        (xy 111.717426 103.872039)
+        (xy 111.724157 103.874142)
+        (xy 111.795828 103.875456)
+        (xy 111.860445 103.876641)
+        (xy 111.860447 103.876641)
+        (xy 111.867499 103.87677)
+        (xy 111.874302 103.874915)
+        (xy 111.874304 103.874915)
+        (xy 111.949503 103.854413)
+        (xy 112.005817 103.83906)
+        (xy 112.127991 103.764045)
+        (xy 112.135403 103.755857)
+        (xy 112.219468 103.662982)
+        (xy 112.2242 103.657754)
+        (xy 112.28671 103.528733)
+        (xy 112.290875 103.503982)
+        (xy 112.309862 103.391124)
+        (xy 112.309862 103.39112)
+        (xy 112.310496 103.387354)
+        (xy 112.310647 103.375)
+        (xy 112.290323 103.233082)
+        (xy 112.230984 103.102572)
+        (xy 112.1374 102.993963)
+        (xy 112.017095 102.915985)
+        (xy 111.879739 102.874907)
+        (xy 111.796497 102.874398)
+        (xy 111.743427 102.874074)
+        (xy 111.743426 102.874074)
+        (xy 111.736376 102.874031)
+        (xy 111.729599 102.875968)
+        (xy 111.729598 102.875968)
+        (xy 111.605309 102.91149)
+        (xy 111.605307 102.911491)
+        (xy 111.598529 102.913428)
+        (xy 111.47728 102.98993)
+        (xy 111.472613 102.995214)
+        (xy 111.472611 102.995216)
+        (xy 111.387044 103.092103)
+        (xy 111.387042 103.092105)
+        (xy 111.382377 103.097388)
+        (xy 111.321447 103.227163)
+        (xy 111.299391 103.368823)
+        (xy 102.3405 103.368823)
+        (xy 102.3405 102.930252)
+        (xy 102.328867 102.871769)
+        (xy 102.284552 102.805448)
+        (xy 102.218231 102.761133)
+        (xy 102.208668 102.759231)
+        (xy 102.208666 102.75923)
+        (xy 102.185995 102.754721)
+        (xy 102.159748 102.7495)
+        (xy 100.420252 102.7495)
+        (xy 100.394005 102.754721)
+        (xy 100.371334 102.75923)
+        (xy 100.371332 102.759231)
+        (xy 100.361769 102.761133)
+        (xy 100.295448 102.805448)
+        (xy 100.251133 102.871769)
+        (xy 100.2395 102.930252)
+        (xy 100.2395 104.669748)
+        (xy 99.343142 104.669748)
+        (xy 99.350943 104.663653)
+        (xy 99.474135 104.567406)
+        (xy 99.474139 104.567402)
+        (xy 99.477951 104.564424)
+        (xy 99.612564 104.408472)
+        (xy 99.631231 104.375613)
+        (xy 99.711934 104.23355)
+        (xy 99.711935 104.233547)
+        (xy 99.714323 104.229344)
+        (xy 99.727882 104.188586)
+        (xy 99.777824 104.038454)
+        (xy 99.777824 104.038452)
+        (xy 99.779351 104.033863)
+        (xy 99.805171 103.829474)
+        (xy 99.805583 103.8)
+        (xy 99.790641 103.647602)
+        (xy 99.785952 103.59978)
+        (xy 99.785951 103.599776)
+        (xy 99.78548 103.59497)
+        (xy 99.760122 103.510979)
+        (xy 99.727333 103.40238)
+        (xy 99.725935 103.397749)
+        (xy 99.629218 103.215849)
+        (xy 99.499011 103.0562)
+        (xy 99.425294 102.995216)
+        (xy 99.344002 102.927965)
+        (xy 99.344 102.927964)
+        (xy 99.340275 102.924882)
+        (xy 99.159055 102.826897)
+        (xy 99.089764 102.805448)
+        (xy 98.966875 102.767407)
+        (xy 98.966871 102.767406)
+        (xy 98.962254 102.765977)
+        (xy 98.957446 102.765472)
+        (xy 98.957443 102.765471)
+        (xy 98.762185 102.744949)
+        (xy 98.762183 102.744949)
+        (xy 98.757369 102.744443)
+        (xy 98.7018 102.7495)
+        (xy 98.557022 102.762675)
+        (xy 98.557017 102.762676)
+        (xy 98.552203 102.763114)
+        (xy 98.354572 102.82128)
+        (xy 98.350288 102.823519)
+        (xy 98.350287 102.82352)
+        (xy 98.339428 102.829197)
+        (xy 98.172002 102.916726)
+        (xy 98.168231 102.919758)
+        (xy 98.01522 103.042781)
+        (xy 98.015217 103.042783)
+        (xy 98.011447 103.045815)
+        (xy 98.008333 103.049526)
+        (xy 98.008332 103.049527)
+        (xy 97.958432 103.108996)
+        (xy 97.879024 103.20363)
+        (xy 97.876689 103.207878)
+        (xy 97.876688 103.207879)
+        (xy 97.869955 103.220126)
+        (xy 97.779776 103.384162)
+        (xy 97.778313 103.388775)
+        (xy 97.778311 103.388779)
+        (xy 97.724413 103.558689)
+        (xy 97.717484 103.580532)
+        (xy 97.716944 103.585344)
+        (xy 97.716944 103.585345)
+        (xy 97.696486 103.767737)
+        (xy 97.69452 103.785262)
+        (xy 96.7 103.785262)
+        (xy 96.7 101.245262)
+        (xy 97.69452 101.245262)
+        (xy 97.711759 101.450553)
+        (xy 97.768544 101.648586)
+        (xy 97.862712 101.831818)
+        (xy 97.990677 101.99327)
+        (xy 97.994357 101.996402)
+        (xy 97.994359 101.996404)
+        (xy 98.107017 102.092283)
+        (xy 98.147564 102.126791)
+        (xy 98.151787 102.129151)
+        (xy 98.151791 102.129154)
+        (xy 98.191342 102.151258)
+        (xy 98.327398 102.227297)
+        (xy 98.331996 102.228791)
+        (xy 98.518724 102.289463)
+        (xy 98.518726 102.289464)
+        (xy 98.523329 102.290959)
+        (xy 98.727894 102.315351)
+        (xy 98.732716 102.31498)
+        (xy 98.732719 102.31498)
+        (xy 98.800541 102.309761)
+        (xy 98.9333 102.299546)
+        (xy 99.131725 102.244145)
+        (xy 99.136038 102.241966)
+        (xy 99.136044 102.241964)
+        (xy 99.311289 102.153441)
+        (xy 99.311291 102.15344)
+        (xy 99.31561 102.151258)
+        (xy 99.350943 102.123653)
+        (xy 99.474135 102.027406)
+        (xy 99.474139 102.027402)
+        (xy 99.477951 102.024424)
+        (xy 99.612564 101.868472)
+        (xy 99.631231 101.835613)
+        (xy 99.711934 101.69355)
+        (xy 99.711935 101.693547)
+        (xy 99.714323 101.689344)
+        (xy 99.727882 101.648586)
+        (xy 99.777824 101.498454)
+        (xy 99.777824 101.498452)
+        (xy 99.779351 101.493863)
+        (xy 99.805171 101.289474)
+        (xy 99.805583 101.26)
+        (xy 99.804138 101.245262)
+        (xy 100.23452 101.245262)
+        (xy 100.251759 101.450553)
+        (xy 100.308544 101.648586)
+        (xy 100.402712 101.831818)
+        (xy 100.530677 101.99327)
+        (xy 100.534357 101.996402)
+        (xy 100.534359 101.996404)
+        (xy 100.647017 102.092283)
+        (xy 100.687564 102.126791)
+        (xy 100.691787 102.129151)
+        (xy 100.691791 102.129154)
+        (xy 100.731342 102.151258)
+        (xy 100.867398 102.227297)
+        (xy 100.871996 102.228791)
+        (xy 101.058724 102.289463)
+        (xy 101.058726 102.289464)
+        (xy 101.063329 102.290959)
+        (xy 101.267894 102.315351)
+        (xy 101.272716 102.31498)
+        (xy 101.272719 102.31498)
+        (xy 101.340541 102.309761)
+        (xy 101.4733 102.299546)
+        (xy 101.671725 102.244145)
+        (xy 101.676038 102.241966)
+        (xy 101.676044 102.241964)
+        (xy 101.851289 102.153441)
+        (xy 101.851291 102.15344)
+        (xy 101.85561 102.151258)
+        (xy 101.890943 102.123653)
+        (xy 102.014135 102.027406)
+        (xy 102.014139 102.027402)
+        (xy 102.017951 102.024424)
+        (xy 102.152564 101.868472)
+        (xy 102.171231 101.835613)
+        (xy 102.251934 101.69355)
+        (xy 102.251935 101.693547)
+        (xy 102.254323 101.689344)
+        (xy 102.267882 101.648586)
+        (xy 102.317824 101.498454)
+        (xy 102.317824 101.498452)
+        (xy 102.319351 101.493863)
+        (xy 102.345171 101.289474)
+        (xy 102.345583 101.26)
+        (xy 102.32548 101.05497)
+        (xy 102.265935 100.857749)
+        (xy 102.169218 100.675849)
+        (xy 102.039011 100.5162)
+        (xy 101.880275 100.384882)
+        (xy 101.699055 100.286897)
+        (xy 101.635855 100.267333)
+        (xy 101.506875 100.227407)
+        (xy 101.506871 100.227406)
+        (xy 101.502254 100.225977)
+        (xy 101.497446 100.225472)
+        (xy 101.497443 100.225471)
+        (xy 101.302185 100.204949)
+        (xy 101.302183 100.204949)
+        (xy 101.297369 100.204443)
+        (xy 101.237354 100.209905)
+        (xy 101.097022 100.222675)
+        (xy 101.097017 100.222676)
+        (xy 101.092203 100.223114)
+        (xy 100.894572 100.28128)
+        (xy 100.890288 100.283519)
+        (xy 100.890287 100.28352)
+        (xy 100.879428 100.289197)
+        (xy 100.712002 100.376726)
+        (xy 100.708231 100.379758)
+        (xy 100.55522 100.502781)
+        (xy 100.555217 100.502783)
+        (xy 100.551447 100.505815)
+        (xy 100.548333 100.509526)
+        (xy 100.548332 100.509527)
+        (xy 100.539585 100.519952)
+        (xy 100.419024 100.66363)
+        (xy 100.416689 100.667878)
+        (xy 100.416688 100.667879)
+        (xy 100.409955 100.680126)
+        (xy 100.319776 100.844162)
+        (xy 100.257484 101.040532)
+        (xy 100.256944 101.045344)
+        (xy 100.256944 101.045345)
+        (xy 100.255865 101.05497)
+        (xy 100.23452 101.245262)
+        (xy 99.804138 101.245262)
+        (xy 99.78548 101.05497)
+        (xy 99.725935 100.857749)
+        (xy 99.629218 100.675849)
+        (xy 99.499011 100.5162)
+        (xy 99.340275 100.384882)
+        (xy 99.159055 100.286897)
+        (xy 99.095855 100.267333)
+        (xy 98.966875 100.227407)
+        (xy 98.966871 100.227406)
+        (xy 98.962254 100.225977)
+        (xy 98.957446 100.225472)
+        (xy 98.957443 100.225471)
+        (xy 98.762185 100.204949)
+        (xy 98.762183 100.204949)
+        (xy 98.757369 100.204443)
+        (xy 98.697354 100.209905)
+        (xy 98.557022 100.222675)
+        (xy 98.557017 100.222676)
+        (xy 98.552203 100.223114)
+        (xy 98.354572 100.28128)
+        (xy 98.350288 100.283519)
+        (xy 98.350287 100.28352)
+        (xy 98.339428 100.289197)
+        (xy 98.172002 100.376726)
+        (xy 98.168231 100.379758)
+        (xy 98.01522 100.502781)
+        (xy 98.015217 100.502783)
+        (xy 98.011447 100.505815)
+        (xy 98.008333 100.509526)
+        (xy 98.008332 100.509527)
+        (xy 97.999585 100.519952)
+        (xy 97.879024 100.66363)
+        (xy 97.876689 100.667878)
+        (xy 97.876688 100.667879)
+        (xy 97.869955 100.680126)
+        (xy 97.779776 100.844162)
+        (xy 97.717484 101.040532)
+        (xy 97.716944 101.045344)
+        (xy 97.716944 101.045345)
+        (xy 97.715865 101.05497)
+        (xy 97.69452 101.245262)
+        (xy 96.7 101.245262)
+        (xy 96.7 98.705262)
+        (xy 97.69452 98.705262)
+        (xy 97.711759 98.910553)
+        (xy 97.768544 99.108586)
+        (xy 97.862712 99.291818)
+        (xy 97.990677 99.45327)
+        (xy 97.994357 99.456402)
+        (xy 97.994359 99.456404)
+        (xy 98.107017 99.552283)
+        (xy 98.147564 99.586791)
+        (xy 98.151787 99.589151)
+        (xy 98.151791 99.589154)
+        (xy 98.191342 99.611258)
+        (xy 98.327398 99.687297)
+        (xy 98.331996 99.688791)
+        (xy 98.518724 99.749463)
+        (xy 98.518726 99.749464)
+        (xy 98.523329 99.750959)
+        (xy 98.727894 99.775351)
+        (xy 98.732716 99.77498)
+        (xy 98.732719 99.77498)
+        (xy 98.800541 99.769761)
+        (xy 98.9333 99.759546)
+        (xy 99.131725 99.704145)
+        (xy 99.136038 99.701966)
+        (xy 99.136044 99.701964)
+        (xy 99.311289 99.613441)
+        (xy 99.311291 99.61344)
+        (xy 99.31561 99.611258)
+        (xy 99.350943 99.583653)
+        (xy 99.474135 99.487406)
+        (xy 99.474139 99.487402)
+        (xy 99.477951 99.484424)
+        (xy 99.612564 99.328472)
+        (xy 99.631231 99.295613)
+        (xy 99.711934 99.15355)
+        (xy 99.711935 99.153547)
+        (xy 99.714323 99.149344)
+        (xy 99.727882 99.108586)
+        (xy 99.777824 98.958454)
+        (xy 99.777824 98.958452)
+        (xy 99.779351 98.953863)
+        (xy 99.805171 98.749474)
+        (xy 99.805583 98.72)
+        (xy 99.804138 98.705262)
+        (xy 100.23452 98.705262)
+        (xy 100.251759 98.910553)
+        (xy 100.308544 99.108586)
+        (xy 100.402712 99.291818)
+        (xy 100.530677 99.45327)
+        (xy 100.534357 99.456402)
+        (xy 100.534359 99.456404)
+        (xy 100.647017 99.552283)
+        (xy 100.687564 99.586791)
+        (xy 100.691787 99.589151)
+        (xy 100.691791 99.589154)
+        (xy 100.731342 99.611258)
+        (xy 100.867398 99.687297)
+        (xy 100.871996 99.688791)
+        (xy 101.058724 99.749463)
+        (xy 101.058726 99.749464)
+        (xy 101.063329 99.750959)
+        (xy 101.267894 99.775351)
+        (xy 101.272716 99.77498)
+        (xy 101.272719 99.77498)
+        (xy 101.340541 99.769761)
+        (xy 101.4733 99.759546)
+        (xy 101.671725 99.704145)
+        (xy 101.676038 99.701966)
+        (xy 101.676044 99.701964)
+        (xy 101.851289 99.613441)
+        (xy 101.851291 99.61344)
+        (xy 101.85561 99.611258)
+        (xy 101.890943 99.583653)
+        (xy 102.014135 99.487406)
+        (xy 102.014139 99.487402)
+        (xy 102.017951 99.484424)
+        (xy 102.152564 99.328472)
+        (xy 102.171231 99.295613)
+        (xy 102.251934 99.15355)
+        (xy 102.251935 99.153547)
+        (xy 102.254323 99.149344)
+        (xy 102.267882 99.108586)
+        (xy 102.317824 98.958454)
+        (xy 102.317824 98.958452)
+        (xy 102.319351 98.953863)
+        (xy 102.345171 98.749474)
+        (xy 102.345583 98.72)
+        (xy 102.32548 98.51497)
+        (xy 102.265935 98.317749)
+        (xy 102.169218 98.135849)
+        (xy 102.039011 97.9762)
+        (xy 101.880275 97.844882)
+        (xy 101.699055 97.746897)
+        (xy 101.635855 97.727333)
+        (xy 101.506875 97.687407)
+        (xy 101.506871 97.687406)
+        (xy 101.502254 97.685977)
+        (xy 101.497446 97.685472)
+        (xy 101.497443 97.685471)
+        (xy 101.302185 97.664949)
+        (xy 101.302183 97.664949)
+        (xy 101.297369 97.664443)
+        (xy 101.237354 97.669905)
+        (xy 101.097022 97.682675)
+        (xy 101.097017 97.682676)
+        (xy 101.092203 97.683114)
+        (xy 100.894572 97.74128)
+        (xy 100.890288 97.743519)
+        (xy 100.890287 97.74352)
+        (xy 100.879428 97.749197)
+        (xy 100.712002 97.836726)
+        (xy 100.708231 97.839758)
+        (xy 100.55522 97.962781)
+        (xy 100.555217 97.962783)
+        (xy 100.551447 97.965815)
+        (xy 100.548333 97.969526)
+        (xy 100.548332 97.969527)
+        (xy 100.539585 97.979952)
+        (xy 100.419024 98.12363)
+        (xy 100.416689 98.127878)
+        (xy 100.416688 98.127879)
+        (xy 100.409955 98.140126)
+        (xy 100.319776 98.304162)
+        (xy 100.257484 98.500532)
+        (xy 100.256944 98.505344)
+        (xy 100.256944 98.505345)
+        (xy 100.255865 98.51497)
+        (xy 100.23452 98.705262)
+        (xy 99.804138 98.705262)
+        (xy 99.78548 98.51497)
+        (xy 99.725935 98.317749)
+        (xy 99.629218 98.135849)
+        (xy 99.499011 97.9762)
+        (xy 99.340275 97.844882)
+        (xy 99.159055 97.746897)
+        (xy 99.095855 97.727333)
+        (xy 98.966875 97.687407)
+        (xy 98.966871 97.687406)
+        (xy 98.962254 97.685977)
+        (xy 98.957446 97.685472)
+        (xy 98.957443 97.685471)
+        (xy 98.762185 97.664949)
+        (xy 98.762183 97.664949)
+        (xy 98.757369 97.664443)
+        (xy 98.697354 97.669905)
+        (xy 98.557022 97.682675)
+        (xy 98.557017 97.682676)
+        (xy 98.552203 97.683114)
+        (xy 98.354572 97.74128)
+        (xy 98.350288 97.743519)
+        (xy 98.350287 97.74352)
+        (xy 98.339428 97.749197)
+        (xy 98.172002 97.836726)
+        (xy 98.168231 97.839758)
+        (xy 98.01522 97.962781)
+        (xy 98.015217 97.962783)
+        (xy 98.011447 97.965815)
+        (xy 98.008333 97.969526)
+        (xy 98.008332 97.969527)
+        (xy 97.999585 97.979952)
+        (xy 97.879024 98.12363)
+        (xy 97.876689 98.127878)
+        (xy 97.876688 98.127879)
+        (xy 97.869955 98.140126)
+        (xy 97.779776 98.304162)
+        (xy 97.717484 98.500532)
+        (xy 97.716944 98.505344)
+        (xy 97.716944 98.505345)
+        (xy 97.715865 98.51497)
+        (xy 97.69452 98.705262)
+        (xy 96.7 98.705262)
+        (xy 96.7 96.165262)
+        (xy 97.69452 96.165262)
+        (xy 97.711759 96.370553)
+        (xy 97.713092 96.375201)
+        (xy 97.713092 96.375202)
+        (xy 97.76063 96.540985)
+        (xy 97.768544 96.568586)
+        (xy 97.862712 96.751818)
+        (xy 97.990677 96.91327)
+        (xy 97.994357 96.916402)
+        (xy 97.994359 96.916404)
+        (xy 98.077132 96.986849)
+        (xy 98.147564 97.046791)
+        (xy 98.151787 97.049151)
+        (xy 98.151791 97.049154)
+        (xy 98.191342 97.071258)
+        (xy 98.327398 97.147297)
+        (xy 98.331996 97.148791)
+        (xy 98.518724 97.209463)
+        (xy 98.518726 97.209464)
+        (xy 98.523329 97.210959)
+        (xy 98.727894 97.235351)
+        (xy 98.732716 97.23498)
+        (xy 98.732719 97.23498)
+        (xy 98.800541 97.229761)
+        (xy 98.9333 97.219546)
+        (xy 99.131725 97.164145)
+        (xy 99.136038 97.161966)
+        (xy 99.136044 97.161964)
+        (xy 99.311289 97.073441)
+        (xy 99.311291 97.07344)
+        (xy 99.31561 97.071258)
+        (xy 99.386179 97.016124)
+        (xy 99.474135 96.947406)
+        (xy 99.474139 96.947402)
+        (xy 99.477951 96.944424)
+        (xy 99.499832 96.919075)
+        (xy 99.563101 96.845776)
+        (xy 99.612564 96.788472)
+        (xy 99.631231 96.755613)
+        (xy 99.711934 96.61355)
+        (xy 99.711935 96.613547)
+        (xy 99.714323 96.609344)
+        (xy 99.727882 96.568586)
+        (xy 99.777824 96.418454)
+        (xy 99.777824 96.418452)
+        (xy 99.779351 96.413863)
+        (xy 99.784015 96.376948)
+        (xy 99.804823 96.212228)
+        (xy 99.805171 96.209474)
+        (xy 99.805583 96.18)
+        (xy 99.804138 96.165262)
+        (xy 100.23452 96.165262)
+        (xy 100.251759 96.370553)
+        (xy 100.253092 96.375201)
+        (xy 100.253092 96.375202)
+        (xy 100.30063 96.540985)
+        (xy 100.308544 96.568586)
+        (xy 100.402712 96.751818)
+        (xy 100.530677 96.91327)
+        (xy 100.534357 96.916402)
+        (xy 100.534359 96.916404)
+        (xy 100.617132 96.986849)
+        (xy 100.687564 97.046791)
+        (xy 100.691787 97.049151)
+        (xy 100.691791 97.049154)
+        (xy 100.731342 97.071258)
+        (xy 100.867398 97.147297)
+        (xy 100.871996 97.148791)
+        (xy 101.058724 97.209463)
+        (xy 101.058726 97.209464)
+        (xy 101.063329 97.210959)
+        (xy 101.267894 97.235351)
+        (xy 101.272716 97.23498)
+        (xy 101.272719 97.23498)
+        (xy 101.340541 97.229761)
+        (xy 101.4733 97.219546)
+        (xy 101.671725 97.164145)
+        (xy 101.676038 97.161966)
+        (xy 101.676044 97.161964)
+        (xy 101.851289 97.073441)
+        (xy 101.851291 97.07344)
+        (xy 101.85561 97.071258)
+        (xy 101.926179 97.016124)
+        (xy 101.954723 96.993823)
+        (xy 107.419391 96.993823)
+        (xy 107.420306 97.00082)
+        (xy 107.420306 97.000821)
+        (xy 107.421814 97.012354)
+        (xy 107.43798 97.135979)
+        (xy 107.440821 97.142435)
+        (xy 107.440821 97.142436)
+        (xy 107.481542 97.23498)
+        (xy 107.49572 97.267203)
+        (xy 107.508792 97.282754)
+        (xy 107.583431 97.371549)
+        (xy 107.583434 97.371551)
+        (xy 107.58797 97.376948)
+        (xy 107.593841 97.380856)
+        (xy 107.593842 97.380857)
+        (xy 107.598286 97.383815)
+        (xy 107.707313 97.45639)
+        (xy 107.80792 97.487821)
+        (xy 107.837425 97.497039)
+        (xy 107.837426 97.497039)
+        (xy 107.844157 97.499142)
+        (xy 107.915828 97.500456)
+        (xy 107.980445 97.501641)
+        (xy 107.980447 97.501641)
+        (xy 107.987499 97.50177)
+        (xy 107.994302 97.499915)
+        (xy 107.994304 97.499915)
+        (xy 108.069503 97.479413)
+        (xy 108.125817 97.46406)
+        (xy 108.247991 97.389045)
+        (xy 108.262646 97.372855)
+        (xy 108.315713 97.3424)
+        (xy 108.376546 97.348954)
+        (xy 108.401995 97.368167)
+        (xy 108.403174 97.366848)
+        (xy 108.408432 97.371549)
+        (xy 108.41297 97.376948)
+        (xy 108.418841 97.380856)
+        (xy 108.418842 97.380857)
+        (xy 108.423286 97.383815)
+        (xy 108.532313 97.45639)
+        (xy 108.63292 97.487821)
+        (xy 108.662425 97.497039)
+        (xy 108.662426 97.497039)
+        (xy 108.669157 97.499142)
+        (xy 108.740828 97.500456)
+        (xy 108.805445 97.501641)
+        (xy 108.805447 97.501641)
+        (xy 108.812499 97.50177)
+        (xy 108.819302 97.499915)
+        (xy 108.819304 97.499915)
+        (xy 108.894503 97.479413)
+        (xy 108.950817 97.46406)
+        (xy 109.072991 97.389045)
+        (xy 109.087646 97.372855)
+        (xy 109.140713 97.3424)
+        (xy 109.201546 97.348954)
+        (xy 109.226995 97.368167)
+        (xy 109.228174 97.366848)
+        (xy 109.233432 97.371549)
+        (xy 109.23797 97.376948)
+        (xy 109.243841 97.380856)
+        (xy 109.243842 97.380857)
+        (xy 109.248286 97.383815)
+        (xy 109.357313 97.45639)
+        (xy 109.45792 97.487821)
+        (xy 109.487425 97.497039)
+        (xy 109.487426 97.497039)
+        (xy 109.494157 97.499142)
+        (xy 109.565828 97.500456)
+        (xy 109.630445 97.501641)
+        (xy 109.630447 97.501641)
+        (xy 109.637499 97.50177)
+        (xy 109.644302 97.499915)
+        (xy 109.644304 97.499915)
+        (xy 109.719503 97.479413)
+        (xy 109.775817 97.46406)
+        (xy 109.897991 97.389045)
+        (xy 109.905403 97.380857)
+        (xy 109.989468 97.287982)
+        (xy 109.9942 97.282754)
+        (xy 110.05671 97.153733)
+        (xy 110.060875 97.128982)
+        (xy 110.079862 97.016124)
+        (xy 110.079862 97.01612)
+        (xy 110.080496 97.012354)
+        (xy 110.080647 97)
+        (xy 110.079762 96.993823)
+        (xy 110.419391 96.993823)
+        (xy 110.420306 97.00082)
+        (xy 110.420306 97.000821)
+        (xy 110.421814 97.012354)
+        (xy 110.43798 97.135979)
+        (xy 110.440821 97.142435)
+        (xy 110.440821 97.142436)
+        (xy 110.481542 97.23498)
+        (xy 110.49572 97.267203)
+        (xy 110.508792 97.282754)
+        (xy 110.583431 97.371549)
+        (xy 110.583434 97.371551)
+        (xy 110.58797 97.376948)
+        (xy 110.593841 97.380856)
+        (xy 110.593842 97.380857)
+        (xy 110.598286 97.383815)
+        (xy 110.707313 97.45639)
+        (xy 110.80792 97.487821)
+        (xy 110.837425 97.497039)
+        (xy 110.837426 97.497039)
+        (xy 110.844157 97.499142)
+        (xy 110.915828 97.500456)
+        (xy 110.980445 97.501641)
+        (xy 110.980447 97.501641)
+        (xy 110.987499 97.50177)
+        (xy 110.994302 97.499915)
+        (xy 110.994304 97.499915)
+        (xy 111.069503 97.479413)
+        (xy 111.125817 97.46406)
+        (xy 111.247991 97.389045)
+        (xy 111.255403 97.380857)
+        (xy 111.339468 97.287982)
+        (xy 111.3442 97.282754)
+        (xy 111.40671 97.153733)
+        (xy 111.410875 97.128982)
+        (xy 111.429862 97.016124)
+        (xy 111.429862 97.01612)
+        (xy 111.430496 97.012354)
+        (xy 111.430647 97)
+        (xy 111.429762 96.993823)
+        (xy 113.419391 96.993823)
+        (xy 113.420306 97.00082)
+        (xy 113.420306 97.000821)
+        (xy 113.421814 97.012354)
+        (xy 113.43798 97.135979)
+        (xy 113.440821 97.142435)
+        (xy 113.440821 97.142436)
+        (xy 113.481542 97.23498)
+        (xy 113.49572 97.267203)
+        (xy 113.508792 97.282754)
+        (xy 113.583431 97.371549)
+        (xy 113.583434 97.371551)
+        (xy 113.58797 97.376948)
+        (xy 113.593841 97.380856)
+        (xy 113.593842 97.380857)
+        (xy 113.598286 97.383815)
+        (xy 113.707313 97.45639)
+        (xy 113.80792 97.487821)
+        (xy 113.837425 97.497039)
+        (xy 113.837426 97.497039)
+        (xy 113.844157 97.499142)
+        (xy 113.915828 97.500456)
+        (xy 113.980445 97.501641)
+        (xy 113.980447 97.501641)
+        (xy 113.987499 97.50177)
+        (xy 113.994302 97.499915)
+        (xy 113.994304 97.499915)
+        (xy 114.069503 97.479413)
+        (xy 114.125817 97.46406)
+        (xy 114.247991 97.389045)
+        (xy 114.262646 97.372855)
+        (xy 114.315713 97.3424)
+        (xy 114.376546 97.348954)
+        (xy 114.401995 97.368167)
+        (xy 114.403174 97.366848)
+        (xy 114.408432 97.371549)
+        (xy 114.41297 97.376948)
+        (xy 114.418841 97.380856)
+        (xy 114.418842 97.380857)
+        (xy 114.423286 97.383815)
+        (xy 114.532313 97.45639)
+        (xy 114.63292 97.487821)
+        (xy 114.662425 97.497039)
+        (xy 114.662426 97.497039)
+        (xy 114.669157 97.499142)
+        (xy 114.740828 97.500456)
+        (xy 114.805445 97.501641)
+        (xy 114.805447 97.501641)
+        (xy 114.812499 97.50177)
+        (xy 114.819302 97.499915)
+        (xy 114.819304 97.499915)
+        (xy 114.894503 97.479413)
+        (xy 114.950817 97.46406)
+        (xy 115.072991 97.389045)
+        (xy 115.087646 97.372855)
+        (xy 115.140713 97.3424)
+        (xy 115.201546 97.348954)
+        (xy 115.226995 97.368167)
+        (xy 115.228174 97.366848)
+        (xy 115.233432 97.371549)
+        (xy 115.23797 97.376948)
+        (xy 115.243841 97.380856)
+        (xy 115.243842 97.380857)
+        (xy 115.248286 97.383815)
+        (xy 115.357313 97.45639)
+        (xy 115.45792 97.487821)
+        (xy 115.487425 97.497039)
+        (xy 115.487426 97.497039)
+        (xy 115.494157 97.499142)
+        (xy 115.565828 97.500456)
+        (xy 115.630445 97.501641)
+        (xy 115.630447 97.501641)
+        (xy 115.637499 97.50177)
+        (xy 115.644302 97.499915)
+        (xy 115.644304 97.499915)
+        (xy 115.719503 97.479413)
+        (xy 115.775817 97.46406)
+        (xy 115.897991 97.389045)
+        (xy 115.905403 97.380857)
+        (xy 115.989468 97.287982)
+        (xy 115.9942 97.282754)
+        (xy 116.05671 97.153733)
+        (xy 116.060875 97.128982)
+        (xy 116.079862 97.016124)
+        (xy 116.079862 97.01612)
+        (xy 116.080496 97.012354)
+        (xy 116.080647 97)
+        (xy 116.079762 96.993823)
+        (xy 116.419391 96.993823)
+        (xy 116.420306 97.00082)
+        (xy 116.420306 97.000821)
+        (xy 116.421814 97.012354)
+        (xy 116.43798 97.135979)
+        (xy 116.440821 97.142435)
+        (xy 116.440821 97.142436)
+        (xy 116.481542 97.23498)
+        (xy 116.49572 97.267203)
+        (xy 116.508792 97.282754)
+        (xy 116.583431 97.371549)
+        (xy 116.583434 97.371551)
+        (xy 116.58797 97.376948)
+        (xy 116.593841 97.380856)
+        (xy 116.593842 97.380857)
+        (xy 116.598286 97.383815)
+        (xy 116.707313 97.45639)
+        (xy 116.80792 97.487821)
+        (xy 116.837425 97.497039)
+        (xy 116.837426 97.497039)
+        (xy 116.844157 97.499142)
+        (xy 116.915828 97.500456)
+        (xy 116.980445 97.501641)
+        (xy 116.980447 97.501641)
+        (xy 116.987499 97.50177)
+        (xy 116.994302 97.499915)
+        (xy 116.994304 97.499915)
+        (xy 117.069503 97.479413)
+        (xy 117.125817 97.46406)
+        (xy 117.247991 97.389045)
+        (xy 117.255403 97.380857)
+        (xy 117.339468 97.287982)
+        (xy 117.3442 97.282754)
+        (xy 117.40671 97.153733)
+        (xy 117.410875 97.128982)
+        (xy 117.429862 97.016124)
+        (xy 117.429862 97.01612)
+        (xy 117.430496 97.012354)
+        (xy 117.430647 97)
+        (xy 117.429762 96.993823)
+        (xy 119.419391 96.993823)
+        (xy 119.420306 97.00082)
+        (xy 119.420306 97.000821)
+        (xy 119.421814 97.012354)
+        (xy 119.43798 97.135979)
+        (xy 119.440821 97.142435)
+        (xy 119.440821 97.142436)
+        (xy 119.481542 97.23498)
+        (xy 119.49572 97.267203)
+        (xy 119.508792 97.282754)
+        (xy 119.583431 97.371549)
+        (xy 119.583434 97.371551)
+        (xy 119.58797 97.376948)
+        (xy 119.593841 97.380856)
+        (xy 119.593842 97.380857)
+        (xy 119.598286 97.383815)
+        (xy 119.707313 97.45639)
+        (xy 119.80792 97.487821)
+        (xy 119.837425 97.497039)
+        (xy 119.837426 97.497039)
+        (xy 119.844157 97.499142)
+        (xy 119.915828 97.500456)
+        (xy 119.980445 97.501641)
+        (xy 119.980447 97.501641)
+        (xy 119.987499 97.50177)
+        (xy 119.994302 97.499915)
+        (xy 119.994304 97.499915)
+        (xy 120.069503 97.479413)
+        (xy 120.125817 97.46406)
+        (xy 120.247991 97.389045)
+        (xy 120.262646 97.372855)
+        (xy 120.315713 97.3424)
+        (xy 120.376546 97.348954)
+        (xy 120.401995 97.368167)
+        (xy 120.403174 97.366848)
+        (xy 120.408432 97.371549)
+        (xy 120.41297 97.376948)
+        (xy 120.418841 97.380856)
+        (xy 120.418842 97.380857)
+        (xy 120.423286 97.383815)
+        (xy 120.532313 97.45639)
+        (xy 120.63292 97.487821)
+        (xy 120.662425 97.497039)
+        (xy 120.662426 97.497039)
+        (xy 120.669157 97.499142)
+        (xy 120.740828 97.500456)
+        (xy 120.805445 97.501641)
+        (xy 120.805447 97.501641)
+        (xy 120.812499 97.50177)
+        (xy 120.819302 97.499915)
+        (xy 120.819304 97.499915)
+        (xy 120.894503 97.479413)
+        (xy 120.950817 97.46406)
+        (xy 121.072991 97.389045)
+        (xy 121.087646 97.372855)
+        (xy 121.140713 97.3424)
+        (xy 121.201546 97.348954)
+        (xy 121.226995 97.368167)
+        (xy 121.228174 97.366848)
+        (xy 121.233432 97.371549)
+        (xy 121.23797 97.376948)
+        (xy 121.243841 97.380856)
+        (xy 121.243842 97.380857)
+        (xy 121.248286 97.383815)
+        (xy 121.357313 97.45639)
+        (xy 121.45792 97.487821)
+        (xy 121.487425 97.497039)
+        (xy 121.487426 97.497039)
+        (xy 121.494157 97.499142)
+        (xy 121.565828 97.500456)
+        (xy 121.630445 97.501641)
+        (xy 121.630447 97.501641)
+        (xy 121.637499 97.50177)
+        (xy 121.644302 97.499915)
+        (xy 121.644304 97.499915)
+        (xy 121.719503 97.479413)
+        (xy 121.775817 97.46406)
+        (xy 121.897991 97.389045)
+        (xy 121.905403 97.380857)
+        (xy 121.989468 97.287982)
+        (xy 121.9942 97.282754)
+        (xy 122.05671 97.153733)
+        (xy 122.060875 97.128982)
+        (xy 122.079862 97.016124)
+        (xy 122.079862 97.01612)
+        (xy 122.080496 97.012354)
+        (xy 122.080647 97)
+        (xy 122.079762 96.993823)
+        (xy 122.419391 96.993823)
+        (xy 122.420306 97.00082)
+        (xy 122.420306 97.000821)
+        (xy 122.421814 97.012354)
+        (xy 122.43798 97.135979)
+        (xy 122.440821 97.142435)
+        (xy 122.440821 97.142436)
+        (xy 122.481542 97.23498)
+        (xy 122.49572 97.267203)
+        (xy 122.508792 97.282754)
+        (xy 122.583431 97.371549)
+        (xy 122.583434 97.371551)
+        (xy 122.58797 97.376948)
+        (xy 122.593841 97.380856)
+        (xy 122.593842 97.380857)
+        (xy 122.598286 97.383815)
+        (xy 122.707313 97.45639)
+        (xy 122.80792 97.487821)
+        (xy 122.837425 97.497039)
+        (xy 122.837426 97.497039)
+        (xy 122.844157 97.499142)
+        (xy 122.915828 97.500456)
+        (xy 122.980445 97.501641)
+        (xy 122.980447 97.501641)
+        (xy 122.987499 97.50177)
+        (xy 122.994302 97.499915)
+        (xy 122.994304 97.499915)
+        (xy 123.069503 97.479413)
+        (xy 123.125817 97.46406)
+        (xy 123.247991 97.389045)
+        (xy 123.255403 97.380857)
+        (xy 123.339468 97.287982)
+        (xy 123.3442 97.282754)
+        (xy 123.40671 97.153733)
+        (xy 123.410875 97.128982)
+        (xy 123.429862 97.016124)
+        (xy 123.429862 97.01612)
+        (xy 123.430496 97.012354)
+        (xy 123.430647 97)
+        (xy 123.410323 96.858082)
+        (xy 123.376758 96.78426)
+        (xy 123.353905 96.733996)
+        (xy 123.353904 96.733995)
+        (xy 123.350984 96.727572)
+        (xy 123.264297 96.626967)
+        (xy 123.262005 96.624307)
+        (xy 123.262004 96.624306)
+        (xy 123.2574 96.618963)
+        (xy 123.137095 96.540985)
+        (xy 122.999739 96.499907)
+        (xy 122.916497 96.499398)
+        (xy 122.863427 96.499074)
+        (xy 122.863426 96.499074)
+        (xy 122.856376 96.499031)
+        (xy 122.849599 96.500968)
+        (xy 122.849598 96.500968)
+        (xy 122.725309 96.53649)
+        (xy 122.725307 96.536491)
+        (xy 122.718529 96.538428)
+        (xy 122.59728 96.61493)
+        (xy 122.592613 96.620214)
+        (xy 122.592611 96.620216)
+        (xy 122.507044 96.717103)
+        (xy 122.507042 96.717105)
+        (xy 122.502377 96.722388)
+        (xy 122.441447 96.852163)
+        (xy 122.419391 96.993823)
+        (xy 122.079762 96.993823)
+        (xy 122.060323 96.858082)
+        (xy 122.026758 96.78426)
+        (xy 122.003905 96.733996)
+        (xy 122.003904 96.733995)
+        (xy 122.000984 96.727572)
+        (xy 121.914297 96.626967)
+        (xy 121.912005 96.624307)
+        (xy 121.912004 96.624306)
+        (xy 121.9074 96.618963)
+        (xy 121.787095 96.540985)
+        (xy 121.649739 96.499907)
+        (xy 121.566497 96.499398)
+        (xy 121.513427 96.499074)
+        (xy 121.513426 96.499074)
+        (xy 121.506376 96.499031)
+        (xy 121.499599 96.500968)
+        (xy 121.499598 96.500968)
+        (xy 121.375309 96.53649)
+        (xy 121.375307 96.536491)
+        (xy 121.368529 96.538428)
+        (xy 121.24728 96.61493)
+        (xy 121.242613 96.620214)
+        (xy 121.242611 96.620216)
+        (xy 121.237189 96.626356)
+        (xy 121.184497 96.657456)
+        (xy 121.123589 96.651646)
+        (xy 121.092485 96.628756)
+        (xy 121.092321 96.628944)
+        (xy 121.090055 96.626967)
+        (xy 121.087985 96.625444)
+        (xy 121.087006 96.624307)
+        (xy 121.087001 96.624303)
+        (xy 121.0824 96.618963)
+        (xy 120.962095 96.540985)
+        (xy 120.824739 96.499907)
+        (xy 120.741497 96.499398)
+        (xy 120.688427 96.499074)
+        (xy 120.688426 96.499074)
+        (xy 120.681376 96.499031)
+        (xy 120.674599 96.500968)
+        (xy 120.674598 96.500968)
+        (xy 120.550309 96.53649)
+        (xy 120.550307 96.536491)
+        (xy 120.543529 96.538428)
+        (xy 120.42228 96.61493)
+        (xy 120.417613 96.620214)
+        (xy 120.417611 96.620216)
+        (xy 120.412189 96.626356)
+        (xy 120.359497 96.657456)
+        (xy 120.298589 96.651646)
+        (xy 120.267485 96.628756)
+        (xy 120.267321 96.628944)
+        (xy 120.265055 96.626967)
+        (xy 120.262985 96.625444)
+        (xy 120.262006 96.624307)
+        (xy 120.262001 96.624303)
+        (xy 120.2574 96.618963)
+        (xy 120.137095 96.540985)
+        (xy 119.999739 96.499907)
+        (xy 119.916497 96.499398)
+        (xy 119.863427 96.499074)
+        (xy 119.863426 96.499074)
+        (xy 119.856376 96.499031)
+        (xy 119.849599 96.500968)
+        (xy 119.849598 96.500968)
+        (xy 119.725309 96.53649)
+        (xy 119.725307 96.536491)
+        (xy 119.718529 96.538428)
+        (xy 119.59728 96.61493)
+        (xy 119.592613 96.620214)
+        (xy 119.592611 96.620216)
+        (xy 119.507044 96.717103)
+        (xy 119.507042 96.717105)
+        (xy 119.502377 96.722388)
+        (xy 119.441447 96.852163)
+        (xy 119.419391 96.993823)
+        (xy 117.429762 96.993823)
+        (xy 117.410323 96.858082)
+        (xy 117.376758 96.78426)
+        (xy 117.353905 96.733996)
+        (xy 117.353904 96.733995)
+        (xy 117.350984 96.727572)
+        (xy 117.264297 96.626967)
+        (xy 117.262005 96.624307)
+        (xy 117.262004 96.624306)
+        (xy 117.2574 96.618963)
+        (xy 117.137095 96.540985)
+        (xy 116.999739 96.499907)
+        (xy 116.916497 96.499398)
+        (xy 116.863427 96.499074)
+        (xy 116.863426 96.499074)
+        (xy 116.856376 96.499031)
+        (xy 116.849599 96.500968)
+        (xy 116.849598 96.500968)
+        (xy 116.725309 96.53649)
+        (xy 116.725307 96.536491)
+        (xy 116.718529 96.538428)
+        (xy 116.59728 96.61493)
+        (xy 116.592613 96.620214)
+        (xy 116.592611 96.620216)
+        (xy 116.507044 96.717103)
+        (xy 116.507042 96.717105)
+        (xy 116.502377 96.722388)
+        (xy 116.441447 96.852163)
+        (xy 116.419391 96.993823)
+        (xy 116.079762 96.993823)
+        (xy 116.060323 96.858082)
+        (xy 116.026758 96.78426)
+        (xy 116.003905 96.733996)
+        (xy 116.003904 96.733995)
+        (xy 116.000984 96.727572)
+        (xy 115.914297 96.626967)
+        (xy 115.912005 96.624307)
+        (xy 115.912004 96.624306)
+        (xy 115.9074 96.618963)
+        (xy 115.787095 96.540985)
+        (xy 115.649739 96.499907)
+        (xy 115.566497 96.499398)
+        (xy 115.513427 96.499074)
+        (xy 115.513426 96.499074)
+        (xy 115.506376 96.499031)
+        (xy 115.499599 96.500968)
+        (xy 115.499598 96.500968)
+        (xy 115.375309 96.53649)
+        (xy 115.375307 96.536491)
+        (xy 115.368529 96.538428)
+        (xy 115.24728 96.61493)
+        (xy 115.242613 96.620214)
+        (xy 115.242611 96.620216)
+        (xy 115.237189 96.626356)
+        (xy 115.184497 96.657456)
+        (xy 115.123589 96.651646)
+        (xy 115.092485 96.628756)
+        (xy 115.092321 96.628944)
+        (xy 115.090055 96.626967)
+        (xy 115.087985 96.625444)
+        (xy 115.087006 96.624307)
+        (xy 115.087001 96.624303)
+        (xy 115.0824 96.618963)
+        (xy 114.962095 96.540985)
+        (xy 114.824739 96.499907)
+        (xy 114.741497 96.499398)
+        (xy 114.688427 96.499074)
+        (xy 114.688426 96.499074)
+        (xy 114.681376 96.499031)
+        (xy 114.674599 96.500968)
+        (xy 114.674598 96.500968)
+        (xy 114.550309 96.53649)
+        (xy 114.550307 96.536491)
+        (xy 114.543529 96.538428)
+        (xy 114.42228 96.61493)
+        (xy 114.417613 96.620214)
+        (xy 114.417611 96.620216)
+        (xy 114.412189 96.626356)
+        (xy 114.359497 96.657456)
+        (xy 114.298589 96.651646)
+        (xy 114.267485 96.628756)
+        (xy 114.267321 96.628944)
+        (xy 114.265055 96.626967)
+        (xy 114.262985 96.625444)
+        (xy 114.262006 96.624307)
+        (xy 114.262001 96.624303)
+        (xy 114.2574 96.618963)
+        (xy 114.137095 96.540985)
+        (xy 113.999739 96.499907)
+        (xy 113.916497 96.499398)
+        (xy 113.863427 96.499074)
+        (xy 113.863426 96.499074)
+        (xy 113.856376 96.499031)
+        (xy 113.849599 96.500968)
+        (xy 113.849598 96.500968)
+        (xy 113.725309 96.53649)
+        (xy 113.725307 96.536491)
+        (xy 113.718529 96.538428)
+        (xy 113.59728 96.61493)
+        (xy 113.592613 96.620214)
+        (xy 113.592611 96.620216)
+        (xy 113.507044 96.717103)
+        (xy 113.507042 96.717105)
+        (xy 113.502377 96.722388)
+        (xy 113.441447 96.852163)
+        (xy 113.419391 96.993823)
+        (xy 111.429762 96.993823)
+        (xy 111.410323 96.858082)
+        (xy 111.376758 96.78426)
+        (xy 111.353905 96.733996)
+        (xy 111.353904 96.733995)
+        (xy 111.350984 96.727572)
+        (xy 111.264297 96.626967)
+        (xy 111.262005 96.624307)
+        (xy 111.262004 96.624306)
+        (xy 111.2574 96.618963)
+        (xy 111.137095 96.540985)
+        (xy 110.999739 96.499907)
+        (xy 110.916497 96.499398)
+        (xy 110.863427 96.499074)
+        (xy 110.863426 96.499074)
+        (xy 110.856376 96.499031)
+        (xy 110.849599 96.500968)
+        (xy 110.849598 96.500968)
+        (xy 110.725309 96.53649)
+        (xy 110.725307 96.536491)
+        (xy 110.718529 96.538428)
+        (xy 110.59728 96.61493)
+        (xy 110.592613 96.620214)
+        (xy 110.592611 96.620216)
+        (xy 110.507044 96.717103)
+        (xy 110.507042 96.717105)
+        (xy 110.502377 96.722388)
+        (xy 110.441447 96.852163)
+        (xy 110.419391 96.993823)
+        (xy 110.079762 96.993823)
+        (xy 110.060323 96.858082)
+        (xy 110.026758 96.78426)
+        (xy 110.003905 96.733996)
+        (xy 110.003904 96.733995)
+        (xy 110.000984 96.727572)
+        (xy 109.914297 96.626967)
+        (xy 109.912005 96.624307)
+        (xy 109.912004 96.624306)
+        (xy 109.9074 96.618963)
+        (xy 109.787095 96.540985)
+        (xy 109.649739 96.499907)
+        (xy 109.566497 96.499398)
+        (xy 109.513427 96.499074)
+        (xy 109.513426 96.499074)
+        (xy 109.506376 96.499031)
+        (xy 109.499599 96.500968)
+        (xy 109.499598 96.500968)
+        (xy 109.375309 96.53649)
+        (xy 109.375307 96.536491)
+        (xy 109.368529 96.538428)
+        (xy 109.24728 96.61493)
+        (xy 109.242613 96.620214)
+        (xy 109.242611 96.620216)
+        (xy 109.237189 96.626356)
+        (xy 109.184497 96.657456)
+        (xy 109.123589 96.651646)
+        (xy 109.092485 96.628756)
+        (xy 109.092321 96.628944)
+        (xy 109.090055 96.626967)
+        (xy 109.087985 96.625444)
+        (xy 109.087006 96.624307)
+        (xy 109.087001 96.624303)
+        (xy 109.0824 96.618963)
+        (xy 108.962095 96.540985)
+        (xy 108.824739 96.499907)
+        (xy 108.741497 96.499398)
+        (xy 108.688427 96.499074)
+        (xy 108.688426 96.499074)
+        (xy 108.681376 96.499031)
+        (xy 108.674599 96.500968)
+        (xy 108.674598 96.500968)
+        (xy 108.550309 96.53649)
+        (xy 108.550307 96.536491)
+        (xy 108.543529 96.538428)
+        (xy 108.42228 96.61493)
+        (xy 108.417613 96.620214)
+        (xy 108.417611 96.620216)
+        (xy 108.412189 96.626356)
+        (xy 108.359497 96.657456)
+        (xy 108.298589 96.651646)
+        (xy 108.267485 96.628756)
+        (xy 108.267321 96.628944)
+        (xy 108.265055 96.626967)
+        (xy 108.262985 96.625444)
+        (xy 108.262006 96.624307)
+        (xy 108.262001 96.624303)
+        (xy 108.2574 96.618963)
+        (xy 108.137095 96.540985)
+        (xy 107.999739 96.499907)
+        (xy 107.916497 96.499398)
+        (xy 107.863427 96.499074)
+        (xy 107.863426 96.499074)
+        (xy 107.856376 96.499031)
+        (xy 107.849599 96.500968)
+        (xy 107.849598 96.500968)
+        (xy 107.725309 96.53649)
+        (xy 107.725307 96.536491)
+        (xy 107.718529 96.538428)
+        (xy 107.59728 96.61493)
+        (xy 107.592613 96.620214)
+        (xy 107.592611 96.620216)
+        (xy 107.507044 96.717103)
+        (xy 107.507042 96.717105)
+        (xy 107.502377 96.722388)
+        (xy 107.441447 96.852163)
+        (xy 107.419391 96.993823)
+        (xy 101.954723 96.993823)
+        (xy 102.014135 96.947406)
+        (xy 102.014139 96.947402)
+        (xy 102.017951 96.944424)
+        (xy 102.039832 96.919075)
+        (xy 102.103101 96.845776)
+        (xy 102.152564 96.788472)
+        (xy 102.171231 96.755613)
+        (xy 102.251934 96.61355)
+        (xy 102.251935 96.613547)
+        (xy 102.254323 96.609344)
+        (xy 102.267882 96.568586)
+        (xy 102.317824 96.418454)
+        (xy 102.317824 96.418452)
+        (xy 102.319351 96.413863)
+        (xy 102.324015 96.376948)
+        (xy 102.344823 96.212228)
+        (xy 102.345171 96.209474)
+        (xy 102.345583 96.18)
+        (xy 102.343008 96.153733)
+        (xy 102.327329 95.993823)
+        (xy 104.994391 95.993823)
+        (xy 104.995306 96.00082)
+        (xy 104.995306 96.000821)
+        (xy 104.996814 96.012354)
+        (xy 105.01298 96.135979)
+        (xy 105.015821 96.142435)
+        (xy 105.015821 96.142436)
+        (xy 105.045319 96.209474)
+        (xy 105.07072 96.267203)
+        (xy 105.083792 96.282754)
+        (xy 105.158431 96.371549)
+        (xy 105.158434 96.371551)
+        (xy 105.16297 96.376948)
+        (xy 105.168841 96.380856)
+        (xy 105.168842 96.380857)
+        (xy 105.181143 96.389045)
+        (xy 105.282313 96.45639)
+        (xy 105.38292 96.487821)
+        (xy 105.412425 96.497039)
+        (xy 105.412426 96.497039)
+        (xy 105.419157 96.499142)
+        (xy 105.490828 96.500456)
+        (xy 105.555445 96.501641)
+        (xy 105.555447 96.501641)
+        (xy 105.562499 96.50177)
+        (xy 105.569302 96.499915)
+        (xy 105.569304 96.499915)
+        (xy 105.644503 96.479413)
+        (xy 105.700817 96.46406)
+        (xy 105.822991 96.389045)
+        (xy 105.830403 96.380857)
+        (xy 105.914468 96.287982)
+        (xy 105.9192 96.282754)
+        (xy 105.98171 96.153733)
+        (xy 105.985875 96.128982)
+        (xy 106.004862 96.016124)
+        (xy 106.004862 96.01612)
+        (xy 106.005496 96.012354)
+        (xy 106.005647 96)
+        (xy 105.985323 95.858082)
+        (xy 105.944003 95.767203)
+        (xy 105.928905 95.733996)
+        (xy 105.928904 95.733995)
+        (xy 105.925984 95.727572)
+        (xy 105.86236 95.653733)
+        (xy 105.837005 95.624307)
+        (xy 105.837004 95.624306)
+        (xy 105.8324 95.618963)
+        (xy 105.712095 95.540985)
+        (xy 105.574739 95.499907)
+        (xy 105.491497 95.499398)
+        (xy 105.438427 95.499074)
+        (xy 105.438426 95.499074)
+        (xy 105.431376 95.499031)
+        (xy 105.424599 95.500968)
+        (xy 105.424598 95.500968)
+        (xy 105.300309 95.53649)
+        (xy 105.300307 95.536491)
+        (xy 105.293529 95.538428)
+        (xy 105.17228 95.61493)
+        (xy 105.167613 95.620214)
+        (xy 105.167611 95.620216)
+        (xy 105.082044 95.717103)
+        (xy 105.082042 95.717105)
+        (xy 105.077377 95.722388)
+        (xy 105.016447 95.852163)
+        (xy 105.015362 95.859132)
+        (xy 105.015361 95.859135)
+        (xy 105.000828 95.952482)
+        (xy 104.994391 95.993823)
+        (xy 102.327329 95.993823)
+        (xy 102.325952 95.97978)
+        (xy 102.325951 95.979776)
+        (xy 102.32548 95.97497)
+        (xy 102.321073 95.960371)
+        (xy 102.286474 95.845776)
+        (xy 102.265935 95.777749)
+        (xy 102.169218 95.595849)
+        (xy 102.039011 95.4362)
+        (xy 101.999765 95.403733)
+        (xy 101.884002 95.307965)
+        (xy 101.884 95.307964)
+        (xy 101.880275 95.304882)
+        (xy 101.730742 95.22403)
+        (xy 101.703309 95.209197)
+        (xy 101.703308 95.209197)
+        (xy 101.699055 95.206897)
+        (xy 101.635855 95.187333)
+        (xy 101.506875 95.147407)
+        (xy 101.506871 95.147406)
+        (xy 101.502254 95.145977)
+        (xy 101.497446 95.145472)
+        (xy 101.497443 95.145471)
+        (xy 101.302185 95.124949)
+        (xy 101.302183 95.124949)
+        (xy 101.297369 95.124443)
+        (xy 101.237354 95.129905)
+        (xy 101.097022 95.142675)
+        (xy 101.097017 95.142676)
+        (xy 101.092203 95.143114)
+        (xy 100.894572 95.20128)
+        (xy 100.890288 95.203519)
+        (xy 100.890287 95.20352)
+        (xy 100.826535 95.236849)
+        (xy 100.712002 95.296726)
+        (xy 100.708231 95.299758)
+        (xy 100.55522 95.422781)
+        (xy 100.555217 95.422783)
+        (xy 100.551447 95.425815)
+        (xy 100.548333 95.429526)
+        (xy 100.548332 95.429527)
+        (xy 100.453796 95.542191)
+        (xy 100.419024 95.58363)
+        (xy 100.416689 95.587878)
+        (xy 100.416688 95.587879)
+        (xy 100.409955 95.600126)
+        (xy 100.319776 95.764162)
+        (xy 100.318313 95.768775)
+        (xy 100.318311 95.768779)
+        (xy 100.289983 95.858082)
+        (xy 100.257484 95.960532)
+        (xy 100.256944 95.965344)
+        (xy 100.256944 95.965345)
+        (xy 100.236594 96.146775)
+        (xy 100.23452 96.165262)
+        (xy 99.804138 96.165262)
+        (xy 99.803008 96.153733)
+        (xy 99.785952 95.97978)
+        (xy 99.785951 95.979776)
+        (xy 99.78548 95.97497)
+        (xy 99.781073 95.960371)
+        (xy 99.746474 95.845776)
+        (xy 99.725935 95.777749)
+        (xy 99.629218 95.595849)
+        (xy 99.499011 95.4362)
+        (xy 99.459765 95.403733)
+        (xy 99.344002 95.307965)
+        (xy 99.344 95.307964)
+        (xy 99.340275 95.304882)
+        (xy 99.190742 95.22403)
+        (xy 99.163309 95.209197)
+        (xy 99.163308 95.209197)
+        (xy 99.159055 95.206897)
+        (xy 99.095855 95.187333)
+        (xy 98.966875 95.147407)
+        (xy 98.966871 95.147406)
+        (xy 98.962254 95.145977)
+        (xy 98.957446 95.145472)
+        (xy 98.957443 95.145471)
+        (xy 98.762185 95.124949)
+        (xy 98.762183 95.124949)
+        (xy 98.757369 95.124443)
+        (xy 98.697354 95.129905)
+        (xy 98.557022 95.142675)
+        (xy 98.557017 95.142676)
+        (xy 98.552203 95.143114)
+        (xy 98.354572 95.20128)
+        (xy 98.350288 95.203519)
+        (xy 98.350287 95.20352)
+        (xy 98.286535 95.236849)
+        (xy 98.172002 95.296726)
+        (xy 98.168231 95.299758)
+        (xy 98.01522 95.422781)
+        (xy 98.015217 95.422783)
+        (xy 98.011447 95.425815)
+        (xy 98.008333 95.429526)
+        (xy 98.008332 95.429527)
+        (xy 97.913796 95.542191)
+        (xy 97.879024 95.58363)
+        (xy 97.876689 95.587878)
+        (xy 97.876688 95.587879)
+        (xy 97.869955 95.600126)
+        (xy 97.779776 95.764162)
+        (xy 97.778313 95.768775)
+        (xy 97.778311 95.768779)
+        (xy 97.749983 95.858082)
+        (xy 97.717484 95.960532)
+        (xy 97.716944 95.965344)
+        (xy 97.716944 95.965345)
+        (xy 97.696594 96.146775)
+        (xy 97.69452 96.165262)
+        (xy 96.7 96.165262)
+        (xy 96.7 93.993823)
+        (xy 111.934391 93.993823)
+        (xy 111.935306 94.00082)
+        (xy 111.935306 94.000821)
+        (xy 111.936814 94.012354)
+        (xy 111.95298 94.135979)
+        (xy 111.955821 94.142435)
+        (xy 111.955821 94.142436)
+        (xy 112.00311 94.249907)
+        (xy 112.01072 94.267203)
+        (xy 112.029012 94.288964)
+        (xy 112.098431 94.371549)
+        (xy 112.098434 94.371551)
+        (xy 112.10297 94.376948)
+        (xy 112.108841 94.380856)
+        (xy 112.108842 94.380857)
+        (xy 112.121143 94.389045)
+        (xy 112.222313 94.45639)
+        (xy 112.32292 94.487821)
+        (xy 112.352425 94.497039)
+        (xy 112.352426 94.497039)
+        (xy 112.359157 94.499142)
+        (xy 112.430828 94.500456)
+        (xy 112.495445 94.501641)
+        (xy 112.495447 94.501641)
+        (xy 112.502499 94.50177)
+        (xy 112.509302 94.499915)
+        (xy 112.509304 94.499915)
+        (xy 112.61027 94.472388)
+        (xy 112.640817 94.46406)
+        (xy 112.762991 94.389045)
+        (xy 112.770403 94.380857)
+        (xy 112.854468 94.287982)
+        (xy 112.8592 94.282754)
+        (xy 112.92171 94.153733)
+        (xy 112.925875 94.128982)
+        (xy 112.944862 94.016124)
+        (xy 112.944862 94.01612)
+        (xy 112.945496 94.012354)
+        (xy 112.945647 94)
+        (xy 112.944762 93.993823)
+        (xy 114.811891 93.993823)
+        (xy 114.812806 94.00082)
+        (xy 114.812806 94.000821)
+        (xy 114.814314 94.012354)
+        (xy 114.83048 94.135979)
+        (xy 114.833321 94.142435)
+        (xy 114.833321 94.142436)
+        (xy 114.88061 94.249907)
+        (xy 114.88822 94.267203)
+        (xy 114.906512 94.288964)
+        (xy 114.975931 94.371549)
+        (xy 114.975934 94.371551)
+        (xy 114.98047 94.376948)
+        (xy 114.986341 94.380856)
+        (xy 114.986342 94.380857)
+        (xy 114.998643 94.389045)
+        (xy 115.099813 94.45639)
+        (xy 115.20042 94.487821)
+        (xy 115.229925 94.497039)
+        (xy 115.229926 94.497039)
+        (xy 115.236657 94.499142)
+        (xy 115.302663 94.500352)
+        (xy 115.370815 94.501602)
+        (xy 115.428649 94.521573)
+        (xy 115.4637 94.571724)
+        (xy 115.466821 94.615815)
+        (xy 115.446891 94.743823)
+        (xy 115.447806 94.75082)
+        (xy 115.447806 94.750821)
+        (xy 115.462753 94.865126)
+        (xy 115.46548 94.885979)
+        (xy 115.468321 94.892435)
+        (xy 115.468321 94.892436)
+        (xy 115.51561 94.999907)
+        (xy 115.52322 95.017203)
+        (xy 115.543211 95.040985)
+        (xy 115.610931 95.121549)
+        (xy 115.610934 95.121551)
+        (xy 115.61547 95.126948)
+        (xy 115.621341 95.130856)
+        (xy 115.621342 95.130857)
+        (xy 115.641809 95.144481)
+        (xy 115.734813 95.20639)
+        (xy 115.832309 95.236849)
+        (xy 115.864925 95.247039)
+        (xy 115.864926 95.247039)
+        (xy 115.871657 95.249142)
+        (xy 115.943328 95.250456)
+        (xy 116.007945 95.251641)
+        (xy 116.007947 95.251641)
+        (xy 116.014999 95.25177)
+        (xy 116.021802 95.249915)
+        (xy 116.021804 95.249915)
+        (xy 116.044149 95.243823)
+        (xy 118.494391 95.243823)
+        (xy 118.495306 95.25082)
+        (xy 118.495306 95.250821)
+        (xy 118.504013 95.317403)
+        (xy 118.51298 95.385979)
+        (xy 118.515821 95.392435)
+        (xy 118.515821 95.392436)
+        (xy 118.563512 95.500821)
+        (xy 118.57072 95.517203)
+        (xy 118.589012 95.538964)
+        (xy 118.658431 95.621549)
+        (xy 118.658434 95.621551)
+        (xy 118.66297 95.626948)
+        (xy 118.668841 95.630856)
+        (xy 118.668842 95.630857)
+        (xy 118.676537 95.635979)
+        (xy 118.782313 95.70639)
+        (xy 118.88292 95.737821)
+        (xy 118.912425 95.747039)
+        (xy 118.912426 95.747039)
+        (xy 118.919157 95.749142)
+        (xy 118.990828 95.750456)
+        (xy 119.055445 95.751641)
+        (xy 119.055447 95.751641)
+        (xy 119.062499 95.75177)
+        (xy 119.069302 95.749915)
+        (xy 119.069304 95.749915)
+        (xy 119.17027 95.722388)
+        (xy 119.200817 95.71406)
+        (xy 119.322991 95.639045)
+        (xy 119.330403 95.630857)
+        (xy 119.414468 95.537982)
+        (xy 119.4192 95.532754)
+        (xy 119.48171 95.403733)
+        (xy 119.485875 95.378982)
+        (xy 119.504862 95.266124)
+        (xy 119.504862 95.26612)
+        (xy 119.505496 95.262354)
+        (xy 119.505647 95.25)
+        (xy 119.485323 95.108082)
+        (xy 119.425984 94.977572)
+        (xy 119.356366 94.896777)
+        (xy 119.337005 94.874307)
+        (xy 119.337004 94.874306)
+        (xy 119.3324 94.868963)
+        (xy 119.212095 94.790985)
+        (xy 119.074739 94.749907)
+        (xy 118.991497 94.749398)
+        (xy 118.938427 94.749074)
+        (xy 118.938426 94.749074)
+        (xy 118.931376 94.749031)
+        (xy 118.924599 94.750968)
+        (xy 118.924598 94.750968)
+        (xy 118.800309 94.78649)
+        (xy 118.800307 94.786491)
+        (xy 118.793529 94.788428)
+        (xy 118.67228 94.86493)
+        (xy 118.667613 94.870214)
+        (xy 118.667611 94.870216)
+        (xy 118.582044 94.967103)
+        (xy 118.582042 94.967105)
+        (xy 118.577377 94.972388)
+        (xy 118.574381 94.97877)
+        (xy 118.57438 94.978771)
+        (xy 118.564527 94.999757)
+        (xy 118.516447 95.102163)
+        (xy 118.515362 95.109132)
+        (xy 118.515361 95.109135)
+        (xy 118.501227 95.199915)
+        (xy 118.494391 95.243823)
+        (xy 116.044149 95.243823)
+        (xy 116.103756 95.227572)
+        (xy 116.153317 95.21406)
+        (xy 116.275491 95.139045)
+        (xy 116.282903 95.130857)
+        (xy 116.366968 95.037982)
+        (xy 116.3717 95.032754)
+        (xy 116.43421 94.903733)
+        (xy 116.438375 94.878982)
+        (xy 116.457362 94.766124)
+        (xy 116.457362 94.76612)
+        (xy 116.457996 94.762354)
+        (xy 116.458147 94.75)
+        (xy 116.457262 94.743823)
+        (xy 119.609391 94.743823)
+        (xy 119.610306 94.75082)
+        (xy 119.610306 94.750821)
+        (xy 119.625253 94.865126)
+        (xy 119.62798 94.885979)
+        (xy 119.630821 94.892435)
+        (xy 119.630821 94.892436)
+        (xy 119.67811 94.999907)
+        (xy 119.68572 95.017203)
+        (xy 119.705711 95.040985)
+        (xy 119.773431 95.121549)
+        (xy 119.773434 95.121551)
+        (xy 119.77797 95.126948)
+        (xy 119.783841 95.130856)
+        (xy 119.783842 95.130857)
+        (xy 119.804309 95.144481)
+        (xy 119.897313 95.20639)
+        (xy 119.904041 95.208492)
+        (xy 119.953776 95.22403)
+        (xy 120.00368 95.25943)
+        (xy 120.023247 95.317403)
+        (xy 120.01905 95.346619)
+        (xy 120.016447 95.352163)
+        (xy 119.994391 95.493823)
+        (xy 119.995306 95.50082)
+        (xy 119.995306 95.500821)
+        (xy 120.010253 95.615126)
+        (xy 120.01298 95.635979)
+        (xy 120.015821 95.642435)
+        (xy 120.015821 95.642436)
+        (xy 120.063873 95.751641)
+        (xy 120.07072 95.767203)
+        (xy 120.079585 95.777749)
+        (xy 120.158431 95.871549)
+        (xy 120.158434 95.871551)
+        (xy 120.16297 95.876948)
+        (xy 120.168841 95.880856)
+        (xy 120.168842 95.880857)
+        (xy 120.181143 95.889045)
+        (xy 120.282313 95.95639)
+        (xy 120.357182 95.97978)
+        (xy 120.412425 95.997039)
+        (xy 120.412426 95.997039)
+        (xy 120.419157 95.999142)
+        (xy 120.490828 96.000456)
+        (xy 120.555445 96.001641)
+        (xy 120.555447 96.001641)
+        (xy 120.562499 96.00177)
+        (xy 120.569302 95.999915)
+        (xy 120.569304 95.999915)
+        (xy 120.677775 95.970342)
+        (xy 120.700817 95.96406)
+        (xy 120.822991 95.889045)
+        (xy 120.830403 95.880857)
+        (xy 120.914468 95.787982)
+        (xy 120.9192 95.782754)
+        (xy 120.98171 95.653733)
+        (xy 120.984182 95.639045)
+        (xy 121.004862 95.516124)
+        (xy 121.004862 95.51612)
+        (xy 121.005496 95.512354)
+        (xy 121.005647 95.5)
+        (xy 120.985323 95.358082)
+        (xy 120.956407 95.294484)
+        (xy 120.928905 95.233996)
+        (xy 120.928904 95.233995)
+        (xy 120.925984 95.227572)
+        (xy 120.842649 95.130857)
+        (xy 120.837005 95.124307)
+        (xy 120.837004 95.124306)
+        (xy 120.8324 95.118963)
+        (xy 120.712095 95.040985)
+        (xy 120.662423 95.02613)
+        (xy 120.612089 94.991343)
+        (xy 120.591815 94.933614)
+        (xy 120.595854 94.905499)
+        (xy 120.59671 94.903733)
+        (xy 120.620496 94.762354)
+        (xy 120.620647 94.75)
+        (xy 120.600323 94.608082)
+        (xy 120.56099 94.521573)
+        (xy 120.543905 94.483996)
+        (xy 120.543904 94.483995)
+        (xy 120.540984 94.477572)
+        (xy 120.457649 94.380857)
+        (xy 120.452005 94.374307)
+        (xy 120.452004 94.374306)
+        (xy 120.4474 94.368963)
+        (xy 120.327095 94.290985)
+        (xy 120.189739 94.249907)
+        (xy 120.106497 94.249398)
+        (xy 120.053427 94.249074)
+        (xy 120.053426 94.249074)
+        (xy 120.046376 94.249031)
+        (xy 120.039599 94.250968)
+        (xy 120.039598 94.250968)
+        (xy 119.915309 94.28649)
+        (xy 119.915307 94.286491)
+        (xy 119.908529 94.288428)
+        (xy 119.78728 94.36493)
+        (xy 119.782613 94.370214)
+        (xy 119.782611 94.370216)
+        (xy 119.697044 94.467103)
+        (xy 119.697042 94.467105)
+        (xy 119.692377 94.472388)
+        (xy 119.689381 94.47877)
+        (xy 119.68938 94.478771)
+        (xy 119.679453 94.499915)
+        (xy 119.631447 94.602163)
+        (xy 119.609391 94.743823)
+        (xy 116.457262 94.743823)
+        (xy 116.43849 94.61274)
+        (xy 116.448957 94.552456)
+        (xy 116.492859 94.509838)
+        (xy 116.538304 94.499722)
+        (xy 116.58273 94.500537)
+        (xy 116.642946 94.501641)
+        (xy 116.642948 94.501641)
+        (xy 116.649999 94.50177)
+        (xy 116.656802 94.499915)
+        (xy 116.656804 94.499915)
+        (xy 116.75777 94.472388)
+        (xy 116.788317 94.46406)
+        (xy 116.910491 94.389045)
+        (xy 116.917903 94.380857)
+        (xy 117.001968 94.287982)
+        (xy 117.0067 94.282754)
+        (xy 117.06921 94.153733)
+        (xy 117.073375 94.128982)
+        (xy 117.092362 94.016124)
+        (xy 117.092362 94.01612)
+        (xy 117.092996 94.012354)
+        (xy 117.093147 94)
+        (xy 117.092262 93.993823)
+        (xy 120.879391 93.993823)
+        (xy 120.880306 94.00082)
+        (xy 120.880306 94.000821)
+        (xy 120.881814 94.012354)
+        (xy 120.89798 94.135979)
+        (xy 120.900821 94.142435)
+        (xy 120.900821 94.142436)
+        (xy 120.94811 94.249907)
+        (xy 120.95572 94.267203)
+        (xy 120.974012 94.288964)
+        (xy 121.043431 94.371549)
+        (xy 121.043434 94.371551)
+        (xy 121.04797 94.376948)
+        (xy 121.053841 94.380856)
+        (xy 121.053842 94.380857)
+        (xy 121.066143 94.389045)
+        (xy 121.167313 94.45639)
+        (xy 121.26792 94.487821)
+        (xy 121.297425 94.497039)
+        (xy 121.297426 94.497039)
+        (xy 121.304157 94.499142)
+        (xy 121.375828 94.500456)
+        (xy 121.440445 94.501641)
+        (xy 121.440447 94.501641)
+        (xy 121.447499 94.50177)
+        (xy 121.454302 94.499915)
+        (xy 121.454304 94.499915)
+        (xy 121.55527 94.472388)
+        (xy 121.585817 94.46406)
+        (xy 121.707991 94.389045)
+        (xy 121.715403 94.380857)
+        (xy 121.799468 94.287982)
+        (xy 121.8042 94.282754)
+        (xy 121.86671 94.153733)
+        (xy 121.870875 94.128982)
+        (xy 121.889862 94.016124)
+        (xy 121.889862 94.01612)
+        (xy 121.890496 94.012354)
+        (xy 121.890647 94)
+        (xy 121.870323 93.858082)
+        (xy 121.810984 93.727572)
+        (xy 121.7174 93.618963)
+        (xy 121.597095 93.540985)
+        (xy 121.459739 93.499907)
+        (xy 121.376497 93.499398)
+        (xy 121.323427 93.499074)
+        (xy 121.323426 93.499074)
+        (xy 121.316376 93.499031)
+        (xy 121.309599 93.500968)
+        (xy 121.309598 93.500968)
+        (xy 121.185309 93.53649)
+        (xy 121.185307 93.536491)
+        (xy 121.178529 93.538428)
+        (xy 121.05728 93.61493)
+        (xy 121.052613 93.620214)
+        (xy 121.052611 93.620216)
+        (xy 120.967044 93.717103)
+        (xy 120.967042 93.717105)
+        (xy 120.962377 93.722388)
+        (xy 120.901447 93.852163)
+        (xy 120.900362 93.859132)
+        (xy 120.900361 93.859135)
+        (xy 120.885828 93.952482)
+        (xy 120.879391 93.993823)
+        (xy 117.092262 93.993823)
+        (xy 117.072823 93.858082)
+        (xy 117.013484 93.727572)
+        (xy 116.9199 93.618963)
+        (xy 116.799595 93.540985)
+        (xy 116.662239 93.499907)
+        (xy 116.578997 93.499398)
+        (xy 116.525927 93.499074)
+        (xy 116.525926 93.499074)
+        (xy 116.518876 93.499031)
+        (xy 116.512099 93.500968)
+        (xy 116.512098 93.500968)
+        (xy 116.387809 93.53649)
+        (xy 116.387807 93.536491)
+        (xy 116.381029 93.538428)
+        (xy 116.25978 93.61493)
+        (xy 116.255113 93.620214)
+        (xy 116.255111 93.620216)
+        (xy 116.169544 93.717103)
+        (xy 116.169542 93.717105)
+        (xy 116.164877 93.722388)
+        (xy 116.103947 93.852163)
+        (xy 116.102862 93.859132)
+        (xy 116.102861 93.859135)
+        (xy 116.088328 93.952482)
+        (xy 116.081891 93.993823)
+        (xy 116.082806 94.00082)
+        (xy 116.082806 94.000821)
+        (xy 116.10048 94.135979)
+        (xy 116.097699 94.136343)
+        (xy 116.09565 94.185262)
+        (xy 116.057646 94.233214)
+        (xy 116.002253 94.249754)
+        (xy 115.899603 94.249127)
+        (xy 115.841529 94.229865)
+        (xy 115.805868 94.180146)
+        (xy 115.80258 94.133704)
+        (xy 115.822362 94.016124)
+        (xy 115.822362 94.01612)
+        (xy 115.822996 94.012354)
+        (xy 115.823147 94)
+        (xy 115.802823 93.858082)
+        (xy 115.743484 93.727572)
+        (xy 115.6499 93.618963)
+        (xy 115.529595 93.540985)
+        (xy 115.392239 93.499907)
+        (xy 115.308997 93.499398)
+        (xy 115.255927 93.499074)
+        (xy 115.255926 93.499074)
+        (xy 115.248876 93.499031)
+        (xy 115.242099 93.500968)
+        (xy 115.242098 93.500968)
+        (xy 115.117809 93.53649)
+        (xy 115.117807 93.536491)
+        (xy 115.111029 93.538428)
+        (xy 114.98978 93.61493)
+        (xy 114.985113 93.620214)
+        (xy 114.985111 93.620216)
+        (xy 114.899544 93.717103)
+        (xy 114.899542 93.717105)
+        (xy 114.894877 93.722388)
+        (xy 114.833947 93.852163)
+        (xy 114.832862 93.859132)
+        (xy 114.832861 93.859135)
+        (xy 114.818328 93.952482)
+        (xy 114.811891 93.993823)
+        (xy 112.944762 93.993823)
+        (xy 112.925323 93.858082)
+        (xy 112.865984 93.727572)
+        (xy 112.7724 93.618963)
+        (xy 112.652095 93.540985)
+        (xy 112.514739 93.499907)
+        (xy 112.431497 93.499398)
+        (xy 112.378427 93.499074)
+        (xy 112.378426 93.499074)
+        (xy 112.371376 93.499031)
+        (xy 112.364599 93.500968)
+        (xy 112.364598 93.500968)
+        (xy 112.240309 93.53649)
+        (xy 112.240307 93.536491)
+        (xy 112.233529 93.538428)
+        (xy 112.11228 93.61493)
+        (xy 112.107613 93.620214)
+        (xy 112.107611 93.620216)
+        (xy 112.022044 93.717103)
+        (xy 112.022042 93.717105)
+        (xy 112.017377 93.722388)
+        (xy 111.956447 93.852163)
+        (xy 111.955362 93.859132)
+        (xy 111.955361 93.859135)
+        (xy 111.940828 93.952482)
+        (xy 111.934391 93.993823)
+        (xy 96.7 93.993823)
+        (xy 96.7 92.993823)
+        (xy 97.744391 92.993823)
+        (xy 97.745306 93.00082)
+        (xy 97.745306 93.000821)
+        (xy 97.760755 93.118963)
+        (xy 97.76298 93.135979)
+        (xy 97.765821 93.142435)
+        (xy 97.765821 93.142436)
+        (xy 97.773586 93.160082)
+        (xy 97.82072 93.267203)
+        (xy 97.866845 93.322076)
+        (xy 97.908431 93.371549)
+        (xy 97.908434 93.371551)
+        (xy 97.91297 93.376948)
+        (xy 97.918841 93.380856)
+        (xy 97.918842 93.380857)
+        (xy 97.931143 93.389045)
+        (xy 98.032313 93.45639)
+        (xy 98.129809 93.486849)
+        (xy 98.162425 93.497039)
+        (xy 98.162426 93.497039)
+        (xy 98.169157 93.499142)
+        (xy 98.240828 93.500456)
+        (xy 98.305445 93.501641)
+        (xy 98.305447 93.501641)
+        (xy 98.312499 93.50177)
+        (xy 98.319302 93.499915)
+        (xy 98.319304 93.499915)
+        (xy 98.394503 93.479413)
+        (xy 98.450817 93.46406)
+        (xy 98.572991 93.389045)
+        (xy 98.580403 93.380857)
+        (xy 98.664466 93.287984)
+        (xy 98.6692 93.282754)
+        (xy 98.670161 93.28077)
+        (xy 98.716624 93.244472)
+        (xy 98.777772 93.242338)
+        (xy 98.826265 93.2738)
+        (xy 98.838188 93.287984)
+        (xy 98.91297 93.376948)
+        (xy 98.918841 93.380856)
+        (xy 98.918842 93.380857)
+        (xy 98.931143 93.389045)
+        (xy 99.032313 93.45639)
+        (xy 99.129809 93.486849)
+        (xy 99.162425 93.497039)
+        (xy 99.162426 93.497039)
+        (xy 99.169157 93.499142)
+        (xy 99.240828 93.500456)
+        (xy 99.305445 93.501641)
+        (xy 99.305447 93.501641)
+        (xy 99.312499 93.50177)
+        (xy 99.319302 93.499915)
+        (xy 99.319304 93.499915)
+        (xy 99.394503 93.479413)
+        (xy 99.450817 93.46406)
+        (xy 99.572991 93.389045)
+        (xy 99.580403 93.380857)
+        (xy 99.664466 93.287984)
+        (xy 99.6692 93.282754)
+        (xy 99.670161 93.28077)
+        (xy 99.716624 93.244472)
+        (xy 99.777772 93.242338)
+        (xy 99.826265 93.2738)
+        (xy 99.838188 93.287984)
+        (xy 99.91297 93.376948)
+        (xy 99.918841 93.380856)
+        (xy 99.918842 93.380857)
+        (xy 99.931143 93.389045)
+        (xy 100.032313 93.45639)
+        (xy 100.129809 93.486849)
+        (xy 100.162425 93.497039)
+        (xy 100.162426 93.497039)
+        (xy 100.169157 93.499142)
+        (xy 100.240828 93.500456)
+        (xy 100.305445 93.501641)
+        (xy 100.305447 93.501641)
+        (xy 100.312499 93.50177)
+        (xy 100.319302 93.499915)
+        (xy 100.319304 93.499915)
+        (xy 100.394503 93.479413)
+        (xy 100.450817 93.46406)
+        (xy 100.572991 93.389045)
+        (xy 100.580403 93.380857)
+        (xy 100.664466 93.287984)
+        (xy 100.6692 93.282754)
+        (xy 100.670161 93.28077)
+        (xy 100.716624 93.244472)
+        (xy 100.777772 93.242338)
+        (xy 100.826265 93.2738)
+        (xy 100.838188 93.287984)
+        (xy 100.91297 93.376948)
+        (xy 100.918841 93.380856)
+        (xy 100.918842 93.380857)
+        (xy 100.931143 93.389045)
+        (xy 101.032313 93.45639)
+        (xy 101.129809 93.486849)
+        (xy 101.162425 93.497039)
+        (xy 101.162426 93.497039)
+        (xy 101.169157 93.499142)
+        (xy 101.240828 93.500456)
+        (xy 101.305445 93.501641)
+        (xy 101.305447 93.501641)
+        (xy 101.312499 93.50177)
+        (xy 101.319302 93.499915)
+        (xy 101.319304 93.499915)
+        (xy 101.394503 93.479413)
+        (xy 101.450817 93.46406)
+        (xy 101.572991 93.389045)
+        (xy 101.580403 93.380857)
+        (xy 101.664466 93.287984)
+        (xy 101.6692 93.282754)
+        (xy 101.670161 93.28077)
+        (xy 101.716624 93.244472)
+        (xy 101.777772 93.242338)
+        (xy 101.826265 93.2738)
+        (xy 101.838188 93.287984)
+        (xy 101.91297 93.376948)
+        (xy 101.918841 93.380856)
+        (xy 101.918842 93.380857)
+        (xy 101.931143 93.389045)
+        (xy 102.032313 93.45639)
+        (xy 102.129809 93.486849)
+        (xy 102.162425 93.497039)
+        (xy 102.162426 93.497039)
+        (xy 102.169157 93.499142)
+        (xy 102.240828 93.500456)
+        (xy 102.305445 93.501641)
+        (xy 102.305447 93.501641)
+        (xy 102.312499 93.50177)
+        (xy 102.319302 93.499915)
+        (xy 102.319304 93.499915)
+        (xy 102.394503 93.479413)
+        (xy 102.450817 93.46406)
+        (xy 102.572991 93.389045)
+        (xy 102.580403 93.380857)
+        (xy 102.664468 93.287982)
+        (xy 102.6692 93.282754)
+        (xy 102.73171 93.153733)
+        (xy 102.735875 93.128982)
+        (xy 102.754862 93.016124)
+        (xy 102.754862 93.01612)
+        (xy 102.755496 93.012354)
+        (xy 102.755647 93)
+        (xy 102.735323 92.858082)
+        (xy 102.675984 92.727572)
+        (xy 102.61236 92.653733)
+        (xy 102.587005 92.624307)
+        (xy 102.587004 92.624306)
+        (xy 102.5824 92.618963)
+        (xy 102.527328 92.583267)
+        (xy 102.488781 92.535751)
+        (xy 102.486547 92.493823)
+        (xy 108.244391 92.493823)
+        (xy 108.245306 92.50082)
+        (xy 108.245306 92.500821)
+        (xy 108.260253 92.615126)
+        (xy 108.26298 92.635979)
+        (xy 108.265821 92.642435)
+        (xy 108.265821 92.642436)
+        (xy 108.31634 92.757248)
+        (xy 108.32072 92.767203)
+        (xy 108.333792 92.782754)
+        (xy 108.408431 92.871549)
+        (xy 108.408434 92.871551)
+        (xy 108.41297 92.876948)
+        (xy 108.418841 92.880856)
+        (xy 108.418842 92.880857)
+        (xy 108.431143 92.889045)
+        (xy 108.532313 92.95639)
+        (xy 108.629809 92.986849)
+        (xy 108.662425 92.997039)
+        (xy 108.662426 92.997039)
+        (xy 108.669157 92.999142)
+        (xy 108.740828 93.000456)
+        (xy 108.805445 93.001641)
+        (xy 108.805447 93.001641)
+        (xy 108.812499 93.00177)
+        (xy 108.819302 92.999915)
+        (xy 108.819304 92.999915)
+        (xy 108.901252 92.977573)
+        (xy 108.950817 92.96406)
+        (xy 109.072991 92.889045)
+        (xy 109.080403 92.880857)
+        (xy 109.164468 92.787982)
+        (xy 109.1692 92.782754)
+        (xy 109.23171 92.653733)
+        (xy 109.235875 92.628982)
+        (xy 109.254862 92.516124)
+        (xy 109.254862 92.51612)
+        (xy 109.255496 92.512354)
+        (xy 109.255647 92.5)
+        (xy 109.235323 92.358082)
+        (xy 109.198189 92.276409)
+        (xy 109.178904 92.233994)
+        (xy 109.178904 92.233993)
+        (xy 109.175984 92.227572)
+        (xy 109.14375 92.190163)
+        (xy 109.120089 92.133738)
+        (xy 109.134112 92.074181)
+        (xy 109.14535 92.059104)
+        (xy 109.164468 92.037982)
+        (xy 109.1692 92.032754)
+        (xy 109.23171 91.903733)
+        (xy 109.235875 91.878982)
+        (xy 109.254862 91.766124)
+        (xy 109.254862 91.76612)
+        (xy 109.255496 91.762354)
+        (xy 109.255559 91.757248)
+        (xy 109.2556 91.753826)
+        (xy 109.255647 91.75)
+        (xy 109.235323 91.608082)
+        (xy 109.175984 91.477572)
+        (xy 109.092649 91.380857)
+        (xy 109.087005 91.374307)
+        (xy 109.087004 91.374306)
+        (xy 109.0824 91.368963)
+        (xy 108.962095 91.290985)
+        (xy 108.824739 91.249907)
+        (xy 108.741497 91.249398)
+        (xy 108.688427 91.249074)
+        (xy 108.688426 91.249074)
+        (xy 108.681376 91.249031)
+        (xy 108.674599 91.250968)
+        (xy 108.674598 91.250968)
+        (xy 108.550309 91.28649)
+        (xy 108.550307 91.286491)
+        (xy 108.543529 91.288428)
+        (xy 108.42228 91.36493)
+        (xy 108.417613 91.370214)
+        (xy 108.417611 91.370216)
+        (xy 108.332044 91.467103)
+        (xy 108.332042 91.467105)
+        (xy 108.327377 91.472388)
+        (xy 108.266447 91.602163)
+        (xy 108.265362 91.609132)
+        (xy 108.265361 91.609135)
+        (xy 108.263831 91.618963)
+        (xy 108.244391 91.743823)
+        (xy 108.245306 91.75082)
+        (xy 108.245306 91.750821)
+        (xy 108.246814 91.762354)
+        (xy 108.26298 91.885979)
+        (xy 108.265821 91.892435)
+        (xy 108.265821 91.892436)
+        (xy 108.313512 92.000821)
+        (xy 108.32072 92.017203)
+        (xy 108.325257 92.022601)
+        (xy 108.325258 92.022602)
+        (xy 108.357446 92.060895)
+        (xy 108.380415 92.117605)
+        (xy 108.365664 92.176986)
+        (xy 108.355866 92.19013)
+        (xy 108.332044 92.217103)
+        (xy 108.332042 92.217105)
+        (xy 108.327377 92.222388)
+        (xy 108.266447 92.352163)
+        (xy 108.265362 92.359132)
+        (xy 108.265361 92.359135)
+        (xy 108.256535 92.415826)
+        (xy 108.244391 92.493823)
+        (xy 102.486547 92.493823)
+        (xy 102.485526 92.474652)
+        (xy 102.518805 92.423309)
+        (xy 102.529374 92.415826)
+        (xy 102.566978 92.392737)
+        (xy 102.572991 92.389045)
+        (xy 102.580403 92.380857)
+        (xy 102.664468 92.287982)
+        (xy 102.6692 92.282754)
+        (xy 102.73171 92.153733)
+        (xy 102.735875 92.128982)
+        (xy 102.754862 92.016124)
+        (xy 102.754862 92.01612)
+        (xy 102.755496 92.012354)
+        (xy 102.755647 92)
+        (xy 102.735323 91.858082)
+        (xy 102.713543 91.81018)
+        (xy 102.678905 91.733996)
+        (xy 102.678904 91.733995)
+        (xy 102.675984 91.727572)
+        (xy 102.5824 91.618963)
+        (xy 102.527328 91.583267)
+        (xy 102.488781 91.535751)
+        (xy 102.485526 91.474652)
+        (xy 102.518805 91.423309)
+        (xy 102.529374 91.415826)
+        (xy 102.566978 91.392737)
+        (xy 102.572991 91.389045)
+        (xy 102.580403 91.380857)
+        (xy 102.664468 91.287982)
+        (xy 102.6692 91.282754)
+        (xy 102.73171 91.153733)
+        (xy 102.735875 91.128982)
+        (xy 102.754862 91.016124)
+        (xy 102.754862 91.01612)
+        (xy 102.755496 91.012354)
+        (xy 102.755647 91)
+        (xy 102.735323 90.858082)
+        (xy 102.675984 90.727572)
+        (xy 102.61236 90.653733)
+        (xy 102.587005 90.624307)
+        (xy 102.587004 90.624306)
+        (xy 102.5824 90.618963)
+        (xy 102.527328 90.583267)
+        (xy 102.488781 90.535751)
+        (xy 102.486547 90.493823)
+        (xy 108.244391 90.493823)
+        (xy 108.245306 90.50082)
+        (xy 108.245306 90.500821)
+        (xy 108.260253 90.615126)
+        (xy 108.26298 90.635979)
+        (xy 108.265821 90.642435)
+        (xy 108.265821 90.642436)
+        (xy 108.31634 90.757248)
+        (xy 108.32072 90.767203)
+        (xy 108.333792 90.782754)
+        (xy 108.408431 90.871549)
+        (xy 108.408434 90.871551)
+        (xy 108.41297 90.876948)
+        (xy 108.418841 90.880856)
+        (xy 108.418842 90.880857)
+        (xy 108.431143 90.889045)
+        (xy 108.532313 90.95639)
+        (xy 108.629809 90.986849)
+        (xy 108.662425 90.997039)
+        (xy 108.662426 90.997039)
+        (xy 108.669157 90.999142)
+        (xy 108.740828 91.000456)
+        (xy 108.805445 91.001641)
+        (xy 108.805447 91.001641)
+        (xy 108.812499 91.00177)
+        (xy 108.819302 90.999915)
+        (xy 108.819304 90.999915)
+        (xy 108.894503 90.979413)
+        (xy 108.950817 90.96406)
+        (xy 109.072991 90.889045)
+        (xy 109.080403 90.880857)
+        (xy 109.164468 90.787982)
+        (xy 109.1692 90.782754)
+        (xy 109.23171 90.653733)
+        (xy 109.235875 90.628982)
+        (xy 109.254862 90.516124)
+        (xy 109.254862 90.51612)
+        (xy 109.255496 90.512354)
+        (xy 109.255647 90.5)
+        (xy 109.235323 90.358082)
+        (xy 109.198189 90.276409)
+        (xy 109.178904 90.233994)
+        (xy 109.178904 90.233993)
+        (xy 109.175984 90.227572)
+        (xy 109.14375 90.190163)
+        (xy 109.120089 90.133738)
+        (xy 109.134112 90.074181)
+        (xy 109.14535 90.059104)
+        (xy 109.164468 90.037982)
+        (xy 109.1692 90.032754)
+        (xy 109.23171 89.903733)
+        (xy 109.235875 89.878982)
+        (xy 109.254862 89.766124)
+        (xy 109.254862 89.76612)
+        (xy 109.255496 89.762354)
+        (xy 109.255559 89.757248)
+        (xy 109.2556 89.753826)
+        (xy 109.255647 89.75)
+        (xy 109.235323 89.608082)
+        (xy 109.175984 89.477572)
+        (xy 109.092649 89.380857)
+        (xy 109.087005 89.374307)
+        (xy 109.087004 89.374306)
+        (xy 109.0824 89.368963)
+        (xy 108.962095 89.290985)
+        (xy 108.824739 89.249907)
+        (xy 108.741497 89.249398)
+        (xy 108.688427 89.249074)
+        (xy 108.688426 89.249074)
+        (xy 108.681376 89.249031)
+        (xy 108.674599 89.250968)
+        (xy 108.674598 89.250968)
+        (xy 108.550309 89.28649)
+        (xy 108.550307 89.286491)
+        (xy 108.543529 89.288428)
+        (xy 108.42228 89.36493)
+        (xy 108.417613 89.370214)
+        (xy 108.417611 89.370216)
+        (xy 108.332044 89.467103)
+        (xy 108.332042 89.467105)
+        (xy 108.327377 89.472388)
+        (xy 108.266447 89.602163)
+        (xy 108.265362 89.609132)
+        (xy 108.265361 89.609135)
+        (xy 108.263831 89.618963)
+        (xy 108.244391 89.743823)
+        (xy 108.245306 89.75082)
+        (xy 108.245306 89.750821)
+        (xy 108.246814 89.762354)
+        (xy 108.26298 89.885979)
+        (xy 108.265821 89.892435)
+        (xy 108.265821 89.892436)
+        (xy 108.313512 90.000821)
+        (xy 108.32072 90.017203)
+        (xy 108.325257 90.022601)
+        (xy 108.325258 90.022602)
+        (xy 108.357446 90.060895)
+        (xy 108.380415 90.117605)
+        (xy 108.365664 90.176986)
+        (xy 108.355866 90.19013)
+        (xy 108.332044 90.217103)
+        (xy 108.332042 90.217105)
+        (xy 108.327377 90.222388)
+        (xy 108.266447 90.352163)
+        (xy 108.265362 90.359132)
+        (xy 108.265361 90.359135)
+        (xy 108.256535 90.415826)
+        (xy 108.244391 90.493823)
+        (xy 102.486547 90.493823)
+        (xy 102.485526 90.474652)
+        (xy 102.518805 90.423309)
+        (xy 102.529374 90.415826)
+        (xy 102.566978 90.392737)
+        (xy 102.572991 90.389045)
+        (xy 102.580403 90.380857)
+        (xy 102.664468 90.287982)
+        (xy 102.6692 90.282754)
+        (xy 102.73171 90.153733)
+        (xy 102.735875 90.128982)
+        (xy 102.754862 90.016124)
+        (xy 102.754862 90.01612)
+        (xy 102.755496 90.012354)
+        (xy 102.755647 90)
+        (xy 102.735323 89.858082)
+        (xy 102.713543 89.81018)
+        (xy 102.678905 89.733996)
+        (xy 102.678904 89.733995)
+        (xy 102.675984 89.727572)
+        (xy 102.5824 89.618963)
+        (xy 102.527328 89.583267)
+        (xy 102.488781 89.535751)
+        (xy 102.485526 89.474652)
+        (xy 102.518805 89.423309)
+        (xy 102.529374 89.415826)
+        (xy 102.566978 89.392737)
+        (xy 102.572991 89.389045)
+        (xy 102.580403 89.380857)
+        (xy 102.664468 89.287982)
+        (xy 102.6692 89.282754)
+        (xy 102.73171 89.153733)
+        (xy 102.735875 89.128982)
+        (xy 102.754862 89.016124)
+        (xy 102.754862 89.01612)
+        (xy 102.755496 89.012354)
+        (xy 102.755647 89)
+        (xy 102.735323 88.858082)
+        (xy 102.675984 88.727572)
+        (xy 102.5824 88.618963)
+        (xy 102.462095 88.540985)
+        (xy 102.324739 88.499907)
+        (xy 102.241497 88.499398)
+        (xy 102.188427 88.499074)
+        (xy 102.188426 88.499074)
+        (xy 102.181376 88.499031)
+        (xy 102.174599 88.500968)
+        (xy 102.174598 88.500968)
+        (xy 102.050309 88.53649)
+        (xy 102.050307 88.536491)
+        (xy 102.043529 88.538428)
+        (xy 101.92228 88.61493)
+        (xy 101.917613 88.620214)
+        (xy 101.917611 88.620216)
+        (xy 101.827377 88.722388)
+        (xy 101.826179 88.72133)
+        (xy 101.784953 88.754362)
+        (xy 101.723835 88.757248)
+        (xy 101.674955 88.726378)
+        (xy 101.671378 88.722226)
+        (xy 101.5824 88.618963)
+        (xy 101.462095 88.540985)
+        (xy 101.324739 88.499907)
+        (xy 101.241497 88.499398)
+        (xy 101.188427 88.499074)
+        (xy 101.188426 88.499074)
+        (xy 101.181376 88.499031)
+        (xy 101.174599 88.500968)
+        (xy 101.174598 88.500968)
+        (xy 101.050309 88.53649)
+        (xy 101.050307 88.536491)
+        (xy 101.043529 88.538428)
+        (xy 100.92228 88.61493)
+        (xy 100.917613 88.620214)
+        (xy 100.917611 88.620216)
+        (xy 100.827377 88.722388)
+        (xy 100.826179 88.72133)
+        (xy 100.784953 88.754362)
+        (xy 100.723835 88.757248)
+        (xy 100.674955 88.726378)
+        (xy 100.671378 88.722226)
+        (xy 100.5824 88.618963)
+        (xy 100.462095 88.540985)
+        (xy 100.324739 88.499907)
+        (xy 100.241497 88.499398)
+        (xy 100.188427 88.499074)
+        (xy 100.188426 88.499074)
+        (xy 100.181376 88.499031)
+        (xy 100.174599 88.500968)
+        (xy 100.174598 88.500968)
+        (xy 100.050309 88.53649)
+        (xy 100.050307 88.536491)
+        (xy 100.043529 88.538428)
+        (xy 99.92228 88.61493)
+        (xy 99.917613 88.620214)
+        (xy 99.917611 88.620216)
+        (xy 99.827377 88.722388)
+        (xy 99.826179 88.72133)
+        (xy 99.784953 88.754362)
+        (xy 99.723835 88.757248)
+        (xy 99.674955 88.726378)
+        (xy 99.671378 88.722226)
+        (xy 99.5824 88.618963)
+        (xy 99.462095 88.540985)
+        (xy 99.324739 88.499907)
+        (xy 99.241497 88.499398)
+        (xy 99.188427 88.499074)
+        (xy 99.188426 88.499074)
+        (xy 99.181376 88.499031)
+        (xy 99.174599 88.500968)
+        (xy 99.174598 88.500968)
+        (xy 99.050309 88.53649)
+        (xy 99.050307 88.536491)
+        (xy 99.043529 88.538428)
+        (xy 98.92228 88.61493)
+        (xy 98.917613 88.620214)
+        (xy 98.917611 88.620216)
+        (xy 98.827377 88.722388)
+        (xy 98.826179 88.72133)
+        (xy 98.784953 88.754362)
+        (xy 98.723835 88.757248)
+        (xy 98.674955 88.726378)
+        (xy 98.671378 88.722226)
+        (xy 98.5824 88.618963)
+        (xy 98.462095 88.540985)
+        (xy 98.324739 88.499907)
+        (xy 98.241497 88.499398)
+        (xy 98.188427 88.499074)
+        (xy 98.188426 88.499074)
+        (xy 98.181376 88.499031)
+        (xy 98.174599 88.500968)
+        (xy 98.174598 88.500968)
+        (xy 98.050309 88.53649)
+        (xy 98.050307 88.536491)
+        (xy 98.043529 88.538428)
+        (xy 97.92228 88.61493)
+        (xy 97.917613 88.620214)
+        (xy 97.917611 88.620216)
+        (xy 97.832044 88.717103)
+        (xy 97.832042 88.717105)
+        (xy 97.827377 88.722388)
+        (xy 97.766447 88.852163)
+        (xy 97.744391 88.993823)
+        (xy 97.745306 89.00082)
+        (xy 97.745306 89.000821)
+        (xy 97.746814 89.012354)
+        (xy 97.76298 89.135979)
+        (xy 97.765821 89.142435)
+        (xy 97.765821 89.142436)
+        (xy 97.81311 89.249907)
+        (xy 97.82072 89.267203)
+        (xy 97.839012 89.288964)
+        (xy 97.908431 89.371549)
+        (xy 97.908434 89.371551)
+        (xy 97.91297 89.376948)
+        (xy 97.918841 89.380856)
+        (xy 97.918842 89.380857)
+        (xy 97.973897 89.417505)
+        (xy 98.01186 89.465489)
+        (xy 98.014369 89.526623)
+        (xy 97.980464 89.577556)
+        (xy 97.971867 89.583643)
+        (xy 97.92228 89.61493)
+        (xy 97.917613 89.620214)
+        (xy 97.917611 89.620216)
+        (xy 97.832044 89.717103)
+        (xy 97.832042 89.717105)
+        (xy 97.827377 89.722388)
+        (xy 97.824381 89.72877)
+        (xy 97.82438 89.728771)
+        (xy 97.812617 89.753826)
+        (xy 97.766447 89.852163)
+        (xy 97.765362 89.859132)
+        (xy 97.765361 89.859135)
+        (xy 97.757429 89.910082)
+        (xy 97.744391 89.993823)
+        (xy 97.745306 90.00082)
+        (xy 97.745306 90.000821)
+        (xy 97.746604 90.010747)
+        (xy 97.76298 90.135979)
+        (xy 97.765821 90.142435)
+        (xy 97.765821 90.142436)
+        (xy 97.806108 90.233994)
+        (xy 97.82072 90.267203)
+        (xy 97.866845 90.322076)
+        (xy 97.908431 90.371549)
+        (xy 97.908434 90.371551)
+        (xy 97.91297 90.376948)
+        (xy 97.918841 90.380856)
+        (xy 97.918842 90.380857)
+        (xy 97.973897 90.417505)
+        (xy 98.01186 90.465489)
+        (xy 98.014369 90.526623)
+        (xy 97.980464 90.577556)
+        (xy 97.971867 90.583643)
+        (xy 97.92228 90.61493)
+        (xy 97.917613 90.620214)
+        (xy 97.917611 90.620216)
+        (xy 97.832044 90.717103)
+        (xy 97.832042 90.717105)
+        (xy 97.827377 90.722388)
+        (xy 97.766447 90.852163)
+        (xy 97.765362 90.859132)
+        (xy 97.765361 90.859135)
+        (xy 97.750828 90.952482)
+        (xy 97.744391 90.993823)
+        (xy 97.745306 91.00082)
+        (xy 97.745306 91.000821)
+        (xy 97.746814 91.012354)
+        (xy 97.76298 91.135979)
+        (xy 97.765821 91.142435)
+        (xy 97.765821 91.142436)
+        (xy 97.81311 91.249907)
+        (xy 97.82072 91.267203)
+        (xy 97.839012 91.288964)
+        (xy 97.908431 91.371549)
+        (xy 97.908434 91.371551)
+        (xy 97.91297 91.376948)
+        (xy 97.918841 91.380856)
+        (xy 97.918842 91.380857)
+        (xy 97.973897 91.417505)
+        (xy 98.01186 91.465489)
+        (xy 98.014369 91.526623)
+        (xy 97.980464 91.577556)
+        (xy 97.971867 91.583643)
+        (xy 97.92228 91.61493)
+        (xy 97.917613 91.620214)
+        (xy 97.917611 91.620216)
+        (xy 97.832044 91.717103)
+        (xy 97.832042 91.717105)
+        (xy 97.827377 91.722388)
+        (xy 97.824381 91.72877)
+        (xy 97.82438 91.728771)
+        (xy 97.812617 91.753826)
+        (xy 97.766447 91.852163)
+        (xy 97.765362 91.859132)
+        (xy 97.765361 91.859135)
+        (xy 97.757429 91.910082)
+        (xy 97.744391 91.993823)
+        (xy 97.745306 92.00082)
+        (xy 97.745306 92.000821)
+        (xy 97.746604 92.010747)
+        (xy 97.76298 92.135979)
+        (xy 97.765821 92.142435)
+        (xy 97.765821 92.142436)
+        (xy 97.81102 92.245157)
+        (xy 97.82072 92.267203)
+        (xy 97.866845 92.322076)
+        (xy 97.908431 92.371549)
+        (xy 97.908434 92.371551)
+        (xy 97.91297 92.376948)
+        (xy 97.918841 92.380856)
+        (xy 97.918842 92.380857)
+        (xy 97.973897 92.417505)
+        (xy 98.01186 92.465489)
+        (xy 98.014369 92.526623)
+        (xy 97.980464 92.577556)
+        (xy 97.971867 92.583643)
+        (xy 97.92228 92.61493)
+        (xy 97.917613 92.620214)
+        (xy 97.917611 92.620216)
+        (xy 97.832044 92.717103)
+        (xy 97.832042 92.717105)
+        (xy 97.827377 92.722388)
+        (xy 97.766447 92.852163)
+        (xy 97.765362 92.859132)
+        (xy 97.765361 92.859135)
+        (xy 97.755981 92.919382)
+        (xy 97.744391 92.993823)
+        (xy 96.7 92.993823)
+        (xy 96.7 88.53396)
+        (xy 96.702518 88.511773)
+        (xy 96.705143 88.500358)
+        (xy 96.702682 88.489484)
+        (xy 96.702702 88.478335)
+        (xy 96.703716 88.478337)
+        (xy 96.703105 88.468476)
+        (xy 96.714416 88.353632)
+        (xy 96.718202 88.334598)
+        (xy 96.758066 88.203183)
+        (xy 96.765493 88.185252)
+        (xy 96.796078 88.128033)
+        (xy 96.830228 88.064143)
+        (xy 96.841008 88.048009)
+        (xy 96.918546 87.953527)
+        (xy 96.928131 87.941848)
+        (xy 96.941848 87.928131)
+        (xy 97.048009 87.841008)
+        (xy 97.064144 87.830227)
+        (xy 97.134771 87.792476)
+        (xy 97.185255 87.765492)
+        (xy 97.203183 87.758066)
+        (xy 97.26889 87.738134)
+        (xy 97.3346 87.718202)
+        (xy 97.35363 87.714416)
+        (xy 97.468499 87.703103)
+        (xy 97.478371 87.702626)
+        (xy 97.488775 87.702644)
+        (xy 97.499642 87.705143)
+        (xy 97.511583 87.702441)
+        (xy 97.533432 87.7)
+        (xy 103.965778 87.7)
+        (xy 103.98813 87.702557)
+        (xy 103.999284 87.705142)
+        (xy 104 87.705143)
+        (xy 104.005443 87.703902)
+        (xy 104.01084 87.703298)
+        (xy 104.016787 87.70239)
+        (xy 104.0455 87.70013)
+        (xy 104.184432 87.689196)
+        (xy 104.184437 87.689195)
+        (xy 104.188302 87.688891)
+        (xy 104.371967 87.644797)
+        (xy 104.449938 87.612501)
+        (xy 104.542877 87.574005)
+        (xy 104.542882 87.574002)
+        (xy 104.546473 87.572515)
+        (xy 104.549788 87.570483)
+        (xy 104.549793 87.570481)
+        (xy 104.626999 87.523169)
+        (xy 104.707524 87.473823)
+        (xy 104.851153 87.351153)
+        (xy 104.973823 87.207524)
+        (xy 105.0232 87.126948)
+        (xy 105.070481 87.049793)
+        (xy 105.070483 87.049788)
+        (xy 105.072515 87.046473)
+        (xy 105.074002 87.042882)
+        (xy 105.074005 87.042877)
+        (xy 105.101026 86.977641)
+        (xy 105.140762 86.931115)
+        (xy 105.200257 86.916831)
+        (xy 105.247348 86.933115)
+        (xy 105.282313 86.95639)
+        (xy 105.350335 86.977641)
+        (xy 105.412425 86.997039)
+        (xy 105.412426 86.997039)
+        (xy 105.419157 86.999142)
+        (xy 105.490828 87.000456)
+        (xy 105.555445 87.001641)
+        (xy 105.555447 87.001641)
+        (xy 105.562499 87.00177)
+        (xy 105.569302 86.999915)
+        (xy 105.569304 86.999915)
+        (xy 105.651003 86.977641)
+        (xy 105.700817 86.96406)
+        (xy 105.822991 86.889045)
+        (xy 105.9192 86.782754)
+        (xy 105.98171 86.653733)
+        (xy 105.989391 86.608082)
+        (xy 106.004862 86.516124)
+        (xy 106.004862 86.51612)
+        (xy 106.005496 86.512354)
+        (xy 106.005647 86.5)
+        (xy 105.985323 86.358082)
+        (xy 105.925984 86.227572)
+        (xy 105.847062 86.135979)
+        (xy 105.837005 86.124307)
+        (xy 105.837004 86.124306)
+        (xy 105.8324 86.118963)
+        (xy 105.712095 86.040985)
+        (xy 105.705337 86.038964)
+        (xy 105.705335 86.038963)
+        (xy 105.594652 86.005862)
+        (xy 105.544318 85.971074)
+        (xy 105.524045 85.913345)
+        (xy 105.541577 85.854725)
+        (xy 105.57635 85.823703)
+        (xy 105.685253 85.765493)
+        (xy 105.703177 85.758068)
+        (xy 105.715722 85.754262)
+        (xy 105.744457 85.75)
+        (xy 109.245139 85.75)
+      )
+    )
+  )
+  (zone (net 1) (net_name "+3V3") (layer "In2.Cu") (tstamp f1b62222-aa6d-484b-8637-94af994d3333) (hatch edge 0.508)
+    (connect_pads yes (clearance 0.2))
+    (min_thickness 0.2) (filled_areas_thickness no)
+    (fill yes (thermal_gap 0.2) (thermal_bridge_width 0.25) (smoothing fillet) (radius 1))
+    (polygon
+      (pts
+        (xy 134 108)
+        (xy 127.5 108)
+        (xy 127.5 94.5)
+        (xy 126.5 93.5)
+        (xy 126.5 88.75)
+        (xy 111.75 88.75)
+        (xy 111.75 86.5)
+        (xy 111.5 86.25)
+        (xy 111.5 85.25)
+        (xy 110.75 84.5)
+        (xy 110.75 75.5)
+        (xy 134 75.5)
+      )
+    )
+    (filled_polygon
+      (layer "In2.Cu")
+      (pts
+        (xy 132.988227 75.702518)
+        (xy 132.999642 75.705143)
+        (xy 133.010516 75.702682)
+        (xy 133.021665 75.702702)
+        (xy 133.021663 75.703716)
+        (xy 133.031524 75.703105)
+        (xy 133.087538 75.708622)
+        (xy 133.14637 75.714416)
+        (xy 133.1654 75.718202)
+        (xy 133.23111 75.738134)
+        (xy 133.296817 75.758066)
+        (xy 133.314745 75.765492)
+        (xy 133.365229 75.792476)
+        (xy 133.435856 75.830227)
+        (xy 133.451991 75.841008)
+        (xy 133.558152 75.928131)
+        (xy 133.571869 75.941848)
+        (xy 133.581454 75.953527)
+        (xy 133.658992 76.048009)
+        (xy 133.669772 76.064143)
+        (xy 133.703923 76.128033)
+        (xy 133.734507 76.185252)
+        (xy 133.741934 76.203183)
+        (xy 133.781798 76.334598)
+        (xy 133.785584 76.353632)
+        (xy 133.796897 76.468493)
+        (xy 133.797374 76.478371)
+        (xy 133.797356 76.488775)
+        (xy 133.794857 76.499642)
+        (xy 133.797559 76.511583)
+        (xy 133.8 76.533432)
+        (xy 133.8 106.96604)
+        (xy 133.797482 106.988227)
+        (xy 133.794857 106.999642)
+        (xy 133.797318 107.010516)
+        (xy 133.797298 107.021665)
+        (xy 133.796284 107.021663)
+        (xy 133.796895 107.031524)
+        (xy 133.785584 107.146368)
+        (xy 133.781798 107.1654)
+        (xy 133.767038 107.21406)
+        (xy 133.741934 107.296817)
+        (xy 133.734508 107.314745)
+        (xy 133.693598 107.391283)
+        (xy 133.669773 107.435856)
+        (xy 133.658992 107.451991)
+        (xy 133.571869 107.558152)
+        (xy 133.558152 107.571869)
+        (xy 133.477053 107.638425)
+        (xy 133.451991 107.658992)
+        (xy 133.435857 107.669772)
+        (xy 133.314745 107.734508)
+        (xy 133.296817 107.741934)
+        (xy 133.23111 107.761866)
+        (xy 133.1654 107.781798)
+        (xy 133.14637 107.785584)
+        (xy 133.031501 107.796897)
+        (xy 133.021629 107.797374)
+        (xy 133.011225 107.797356)
+        (xy 133.000358 107.794857)
+        (xy 132.988417 107.797559)
+        (xy 132.966568 107.8)
+        (xy 127.941507 107.8)
+        (xy 127.878703 107.777529)
+        (xy 127.82628 107.734507)
+        (xy 127.800427 107.71329)
+        (xy 127.78671 107.699573)
+        (xy 127.674715 107.563106)
+        (xy 127.663933 107.546969)
+        (xy 127.580717 107.391283)
+        (xy 127.57329 107.373353)
+        (xy 127.522045 107.20442)
+        (xy 127.518259 107.185386)
+        (xy 127.500477 107.004843)
+        (xy 127.5 106.995139)
+        (xy 127.5 103.785262)
+        (xy 128.17452 103.785262)
+        (xy 128.191759 103.990553)
+        (xy 128.248544 104.188586)
+        (xy 128.342712 104.371818)
+        (xy 128.470677 104.53327)
+        (xy 128.474357 104.536402)
+        (xy 128.474359 104.536404)
+        (xy 128.587017 104.632283)
+        (xy 128.627564 104.666791)
+        (xy 128.631787 104.669151)
+        (xy 128.631791 104.669154)
+        (xy 128.671342 104.691258)
+        (xy 128.807398 104.767297)
+        (xy 128.811996 104.768791)
+        (xy 128.998724 104.829463)
+        (xy 128.998726 104.829464)
+        (xy 129.003329 104.830959)
+        (xy 129.207894 104.855351)
+        (xy 129.212716 104.85498)
+        (xy 129.212719 104.85498)
+        (xy 129.283259 104.849552)
+        (xy 129.4133 104.839546)
+        (xy 129.611725 104.784145)
+        (xy 129.616038 104.781966)
+        (xy 129.616044 104.781964)
+        (xy 129.791289 104.693441)
+        (xy 129.791291 104.69344)
+        (xy 129.79561 104.691258)
+        (xy 129.823142 104.669748)
+        (xy 130.7195 104.669748)
+        (xy 130.731133 104.728231)
+        (xy 130.775448 104.794552)
+        (xy 130.841769 104.838867)
+        (xy 130.851332 104.840769)
+        (xy 130.851334 104.84077)
+        (xy 130.874005 104.845279)
+        (xy 130.900252 104.8505)
+        (xy 132.639748 104.8505)
+        (xy 132.665995 104.845279)
+        (xy 132.688666 104.84077)
+        (xy 132.688668 104.840769)
+        (xy 132.698231 104.838867)
+        (xy 132.764552 104.794552)
+        (xy 132.808867 104.728231)
+        (xy 132.8205 104.669748)
+        (xy 132.8205 102.930252)
+        (xy 132.808867 102.871769)
+        (xy 132.764552 102.805448)
+        (xy 132.698231 102.761133)
+        (xy 132.688668 102.759231)
+        (xy 132.688666 102.75923)
+        (xy 132.665995 102.754721)
+        (xy 132.639748 102.7495)
+        (xy 130.900252 102.7495)
+        (xy 130.874005 102.754721)
+        (xy 130.851334 102.75923)
+        (xy 130.851332 102.759231)
+        (xy 130.841769 102.761133)
+        (xy 130.775448 102.805448)
+        (xy 130.731133 102.871769)
+        (xy 130.7195 102.930252)
+        (xy 130.7195 104.669748)
+        (xy 129.823142 104.669748)
+        (xy 129.830943 104.663653)
+        (xy 129.954135 104.567406)
+        (xy 129.954139 104.567402)
+        (xy 129.957951 104.564424)
+        (xy 130.092564 104.408472)
+        (xy 130.111231 104.375613)
+        (xy 130.191934 104.23355)
+        (xy 130.191935 104.233547)
+        (xy 130.194323 104.229344)
+        (xy 130.207882 104.188586)
+        (xy 130.257824 104.038454)
+        (xy 130.257824 104.038452)
+        (xy 130.259351 104.033863)
+        (xy 130.285171 103.829474)
+        (xy 130.285583 103.8)
+        (xy 130.271636 103.657754)
+        (xy 130.265952 103.59978)
+        (xy 130.265951 103.599776)
+        (xy 130.26548 103.59497)
+        (xy 130.240122 103.510979)
+        (xy 130.207333 103.40238)
+        (xy 130.205935 103.397749)
+        (xy 130.109218 103.215849)
+        (xy 129.979011 103.0562)
+        (xy 129.96279 103.042781)
+        (xy 129.824002 102.927965)
+        (xy 129.824 102.927964)
+        (xy 129.820275 102.924882)
+        (xy 129.639055 102.826897)
+        (xy 129.569764 102.805448)
+        (xy 129.446875 102.767407)
+        (xy 129.446871 102.767406)
+        (xy 129.442254 102.765977)
+        (xy 129.437446 102.765472)
+        (xy 129.437443 102.765471)
+        (xy 129.242185 102.744949)
+        (xy 129.242183 102.744949)
+        (xy 129.237369 102.744443)
+        (xy 129.1818 102.7495)
+        (xy 129.037022 102.762675)
+        (xy 129.037017 102.762676)
+        (xy 129.032203 102.763114)
+        (xy 128.834572 102.82128)
+        (xy 128.830288 102.823519)
+        (xy 128.830287 102.82352)
+        (xy 128.819428 102.829197)
+        (xy 128.652002 102.916726)
+        (xy 128.648231 102.919758)
+        (xy 128.49522 103.042781)
+        (xy 128.495217 103.042783)
+        (xy 128.491447 103.045815)
+        (xy 128.488333 103.049526)
+        (xy 128.488332 103.049527)
+        (xy 128.479585 103.059952)
+        (xy 128.359024 103.20363)
+        (xy 128.356689 103.207878)
+        (xy 128.356688 103.207879)
+        (xy 128.349955 103.220126)
+        (xy 128.259776 103.384162)
+        (xy 128.258313 103.388775)
+        (xy 128.258311 103.388779)
+        (xy 128.204413 103.558689)
+        (xy 128.197484 103.580532)
+        (xy 128.196944 103.585344)
+        (xy 128.196944 103.585345)
+        (xy 128.178257 103.751948)
+        (xy 128.17452 103.785262)
+        (xy 127.5 103.785262)
+        (xy 127.5 101.245262)
+        (xy 128.17452 101.245262)
+        (xy 128.191759 101.450553)
+        (xy 128.248544 101.648586)
+        (xy 128.342712 101.831818)
+        (xy 128.470677 101.99327)
+        (xy 128.474357 101.996402)
+        (xy 128.474359 101.996404)
+        (xy 128.587017 102.092283)
+        (xy 128.627564 102.126791)
+        (xy 128.631787 102.129151)
+        (xy 128.631791 102.129154)
+        (xy 128.671342 102.151258)
+        (xy 128.807398 102.227297)
+        (xy 128.811996 102.228791)
+        (xy 128.998724 102.289463)
+        (xy 128.998726 102.289464)
+        (xy 129.003329 102.290959)
+        (xy 129.207894 102.315351)
+        (xy 129.212716 102.31498)
+        (xy 129.212719 102.31498)
+        (xy 129.280541 102.309761)
+        (xy 129.4133 102.299546)
+        (xy 129.611725 102.244145)
+        (xy 129.616038 102.241966)
+        (xy 129.616044 102.241964)
+        (xy 129.791289 102.153441)
+        (xy 129.791291 102.15344)
+        (xy 129.79561 102.151258)
+        (xy 129.830943 102.123653)
+        (xy 129.954135 102.027406)
+        (xy 129.954139 102.027402)
+        (xy 129.957951 102.024424)
+        (xy 130.092564 101.868472)
+        (xy 130.111231 101.835613)
+        (xy 130.191934 101.69355)
+        (xy 130.191935 101.693547)
+        (xy 130.194323 101.689344)
+        (xy 130.207882 101.648586)
+        (xy 130.257824 101.498454)
+        (xy 130.257824 101.498452)
+        (xy 130.259351 101.493863)
+        (xy 130.285171 101.289474)
+        (xy 130.285583 101.26)
+        (xy 130.284138 101.245262)
+        (xy 130.71452 101.245262)
+        (xy 130.731759 101.450553)
+        (xy 130.788544 101.648586)
+        (xy 130.882712 101.831818)
+        (xy 131.010677 101.99327)
+        (xy 131.014357 101.996402)
+        (xy 131.014359 101.996404)
+        (xy 131.127017 102.092283)
+        (xy 131.167564 102.126791)
+        (xy 131.171787 102.129151)
+        (xy 131.171791 102.129154)
+        (xy 131.211342 102.151258)
+        (xy 131.347398 102.227297)
+        (xy 131.351996 102.228791)
+        (xy 131.538724 102.289463)
+        (xy 131.538726 102.289464)
+        (xy 131.543329 102.290959)
+        (xy 131.747894 102.315351)
+        (xy 131.752716 102.31498)
+        (xy 131.752719 102.31498)
+        (xy 131.820541 102.309761)
+        (xy 131.9533 102.299546)
+        (xy 132.151725 102.244145)
+        (xy 132.156038 102.241966)
+        (xy 132.156044 102.241964)
+        (xy 132.331289 102.153441)
+        (xy 132.331291 102.15344)
+        (xy 132.33561 102.151258)
+        (xy 132.370943 102.123653)
+        (xy 132.494135 102.027406)
+        (xy 132.494139 102.027402)
+        (xy 132.497951 102.024424)
+        (xy 132.632564 101.868472)
+        (xy 132.651231 101.835613)
+        (xy 132.731934 101.69355)
+        (xy 132.731935 101.693547)
+        (xy 132.734323 101.689344)
+        (xy 132.747882 101.648586)
+        (xy 132.797824 101.498454)
+        (xy 132.797824 101.498452)
+        (xy 132.799351 101.493863)
+        (xy 132.825171 101.289474)
+        (xy 132.825583 101.26)
+        (xy 132.80548 101.05497)
+        (xy 132.745935 100.857749)
+        (xy 132.649218 100.675849)
+        (xy 132.519011 100.5162)
+        (xy 132.360275 100.384882)
+        (xy 132.179055 100.286897)
+        (xy 132.115855 100.267333)
+        (xy 131.986875 100.227407)
+        (xy 131.986871 100.227406)
+        (xy 131.982254 100.225977)
+        (xy 131.977446 100.225472)
+        (xy 131.977443 100.225471)
+        (xy 131.782185 100.204949)
+        (xy 131.782183 100.204949)
+        (xy 131.777369 100.204443)
+        (xy 131.717354 100.209905)
+        (xy 131.577022 100.222675)
+        (xy 131.577017 100.222676)
+        (xy 131.572203 100.223114)
+        (xy 131.374572 100.28128)
+        (xy 131.370288 100.283519)
+        (xy 131.370287 100.28352)
+        (xy 131.359428 100.289197)
+        (xy 131.192002 100.376726)
+        (xy 131.188231 100.379758)
+        (xy 131.03522 100.502781)
+        (xy 131.035217 100.502783)
+        (xy 131.031447 100.505815)
+        (xy 131.028333 100.509526)
+        (xy 131.028332 100.509527)
+        (xy 131.019585 100.519952)
+        (xy 130.899024 100.66363)
+        (xy 130.896689 100.667878)
+        (xy 130.896688 100.667879)
+        (xy 130.889955 100.680126)
+        (xy 130.799776 100.844162)
+        (xy 130.737484 101.040532)
+        (xy 130.736944 101.045344)
+        (xy 130.736944 101.045345)
+        (xy 130.735865 101.05497)
+        (xy 130.71452 101.245262)
+        (xy 130.284138 101.245262)
+        (xy 130.26548 101.05497)
+        (xy 130.205935 100.857749)
+        (xy 130.109218 100.675849)
+        (xy 129.979011 100.5162)
+        (xy 129.820275 100.384882)
+        (xy 129.639055 100.286897)
+        (xy 129.575855 100.267333)
+        (xy 129.446875 100.227407)
+        (xy 129.446871 100.227406)
+        (xy 129.442254 100.225977)
+        (xy 129.437446 100.225472)
+        (xy 129.437443 100.225471)
+        (xy 129.242185 100.204949)
+        (xy 129.242183 100.204949)
+        (xy 129.237369 100.204443)
+        (xy 129.177354 100.209905)
+        (xy 129.037022 100.222675)
+        (xy 129.037017 100.222676)
+        (xy 129.032203 100.223114)
+        (xy 128.834572 100.28128)
+        (xy 128.830288 100.283519)
+        (xy 128.830287 100.28352)
+        (xy 128.819428 100.289197)
+        (xy 128.652002 100.376726)
+        (xy 128.648231 100.379758)
+        (xy 128.49522 100.502781)
+        (xy 128.495217 100.502783)
+        (xy 128.491447 100.505815)
+        (xy 128.488333 100.509526)
+        (xy 128.488332 100.509527)
+        (xy 128.479585 100.519952)
+        (xy 128.359024 100.66363)
+        (xy 128.356689 100.667878)
+        (xy 128.356688 100.667879)
+        (xy 128.349955 100.680126)
+        (xy 128.259776 100.844162)
+        (xy 128.197484 101.040532)
+        (xy 128.196944 101.045344)
+        (xy 128.196944 101.045345)
+        (xy 128.195865 101.05497)
+        (xy 128.17452 101.245262)
+        (xy 127.5 101.245262)
+        (xy 127.5 98.705262)
+        (xy 128.17452 98.705262)
+        (xy 128.191759 98.910553)
+        (xy 128.248544 99.108586)
+        (xy 128.342712 99.291818)
+        (xy 128.470677 99.45327)
+        (xy 128.474357 99.456402)
+        (xy 128.474359 99.456404)
+        (xy 128.587017 99.552283)
+        (xy 128.627564 99.586791)
+        (xy 128.631787 99.589151)
+        (xy 128.631791 99.589154)
+        (xy 128.671342 99.611258)
+        (xy 128.807398 99.687297)
+        (xy 128.811996 99.688791)
+        (xy 128.998724 99.749463)
+        (xy 128.998726 99.749464)
+        (xy 129.003329 99.750959)
+        (xy 129.207894 99.775351)
+        (xy 129.212716 99.77498)
+        (xy 129.212719 99.77498)
+        (xy 129.280541 99.769761)
+        (xy 129.4133 99.759546)
+        (xy 129.611725 99.704145)
+        (xy 129.616038 99.701966)
+        (xy 129.616044 99.701964)
+        (xy 129.791289 99.613441)
+        (xy 129.791291 99.61344)
+        (xy 129.79561 99.611258)
+        (xy 129.830943 99.583653)
+        (xy 129.954135 99.487406)
+        (xy 129.954139 99.487402)
+        (xy 129.957951 99.484424)
+        (xy 130.092564 99.328472)
+        (xy 130.111231 99.295613)
+        (xy 130.191934 99.15355)
+        (xy 130.191935 99.153547)
+        (xy 130.194323 99.149344)
+        (xy 130.207882 99.108586)
+        (xy 130.257824 98.958454)
+        (xy 130.257824 98.958452)
+        (xy 130.259351 98.953863)
+        (xy 130.285171 98.749474)
+        (xy 130.285583 98.72)
+        (xy 130.284138 98.705262)
+        (xy 130.71452 98.705262)
+        (xy 130.731759 98.910553)
+        (xy 130.788544 99.108586)
+        (xy 130.882712 99.291818)
+        (xy 131.010677 99.45327)
+        (xy 131.014357 99.456402)
+        (xy 131.014359 99.456404)
+        (xy 131.127017 99.552283)
+        (xy 131.167564 99.586791)
+        (xy 131.171787 99.589151)
+        (xy 131.171791 99.589154)
+        (xy 131.211342 99.611258)
+        (xy 131.347398 99.687297)
+        (xy 131.351996 99.688791)
+        (xy 131.538724 99.749463)
+        (xy 131.538726 99.749464)
+        (xy 131.543329 99.750959)
+        (xy 131.747894 99.775351)
+        (xy 131.752716 99.77498)
+        (xy 131.752719 99.77498)
+        (xy 131.820541 99.769761)
+        (xy 131.9533 99.759546)
+        (xy 132.151725 99.704145)
+        (xy 132.156038 99.701966)
+        (xy 132.156044 99.701964)
+        (xy 132.331289 99.613441)
+        (xy 132.331291 99.61344)
+        (xy 132.33561 99.611258)
+        (xy 132.370943 99.583653)
+        (xy 132.494135 99.487406)
+        (xy 132.494139 99.487402)
+        (xy 132.497951 99.484424)
+        (xy 132.632564 99.328472)
+        (xy 132.651231 99.295613)
+        (xy 132.731934 99.15355)
+        (xy 132.731935 99.153547)
+        (xy 132.734323 99.149344)
+        (xy 132.747882 99.108586)
+        (xy 132.797824 98.958454)
+        (xy 132.797824 98.958452)
+        (xy 132.799351 98.953863)
+        (xy 132.825171 98.749474)
+        (xy 132.825583 98.72)
+        (xy 132.80548 98.51497)
+        (xy 132.745935 98.317749)
+        (xy 132.649218 98.135849)
+        (xy 132.519011 97.9762)
+        (xy 132.360275 97.844882)
+        (xy 132.179055 97.746897)
+        (xy 132.115855 97.727333)
+        (xy 131.986875 97.687407)
+        (xy 131.986871 97.687406)
+        (xy 131.982254 97.685977)
+        (xy 131.977446 97.685472)
+        (xy 131.977443 97.685471)
+        (xy 131.782185 97.664949)
+        (xy 131.782183 97.664949)
+        (xy 131.777369 97.664443)
+        (xy 131.717354 97.669905)
+        (xy 131.577022 97.682675)
+        (xy 131.577017 97.682676)
+        (xy 131.572203 97.683114)
+        (xy 131.374572 97.74128)
+        (xy 131.370288 97.743519)
+        (xy 131.370287 97.74352)
+        (xy 131.359428 97.749197)
+        (xy 131.192002 97.836726)
+        (xy 131.188231 97.839758)
+        (xy 131.03522 97.962781)
+        (xy 131.035217 97.962783)
+        (xy 131.031447 97.965815)
+        (xy 131.028333 97.969526)
+        (xy 131.028332 97.969527)
+        (xy 131.019585 97.979952)
+        (xy 130.899024 98.12363)
+        (xy 130.896689 98.127878)
+        (xy 130.896688 98.127879)
+        (xy 130.889955 98.140126)
+        (xy 130.799776 98.304162)
+        (xy 130.737484 98.500532)
+        (xy 130.736944 98.505344)
+        (xy 130.736944 98.505345)
+        (xy 130.735865 98.51497)
+        (xy 130.71452 98.705262)
+        (xy 130.284138 98.705262)
+        (xy 130.26548 98.51497)
+        (xy 130.205935 98.317749)
+        (xy 130.109218 98.135849)
+        (xy 129.979011 97.9762)
+        (xy 129.820275 97.844882)
+        (xy 129.639055 97.746897)
+        (xy 129.575855 97.727333)
+        (xy 129.446875 97.687407)
+        (xy 129.446871 97.687406)
+        (xy 129.442254 97.685977)
+        (xy 129.437446 97.685472)
+        (xy 129.437443 97.685471)
+        (xy 129.242185 97.664949)
+        (xy 129.242183 97.664949)
+        (xy 129.237369 97.664443)
+        (xy 129.177354 97.669905)
+        (xy 129.037022 97.682675)
+        (xy 129.037017 97.682676)
+        (xy 129.032203 97.683114)
+        (xy 128.834572 97.74128)
+        (xy 128.830288 97.743519)
+        (xy 128.830287 97.74352)
+        (xy 128.819428 97.749197)
+        (xy 128.652002 97.836726)
+        (xy 128.648231 97.839758)
+        (xy 128.49522 97.962781)
+        (xy 128.495217 97.962783)
+        (xy 128.491447 97.965815)
+        (xy 128.488333 97.969526)
+        (xy 128.488332 97.969527)
+        (xy 128.479585 97.979952)
+        (xy 128.359024 98.12363)
+        (xy 128.356689 98.127878)
+        (xy 128.356688 98.127879)
+        (xy 128.349955 98.140126)
+        (xy 128.259776 98.304162)
+        (xy 128.197484 98.500532)
+        (xy 128.196944 98.505344)
+        (xy 128.196944 98.505345)
+        (xy 128.195865 98.51497)
+        (xy 128.17452 98.705262)
+        (xy 127.5 98.705262)
+        (xy 127.5 96.165262)
+        (xy 128.17452 96.165262)
+        (xy 128.191759 96.370553)
+        (xy 128.193092 96.375201)
+        (xy 128.193092 96.375202)
+        (xy 128.24063 96.540985)
+        (xy 128.248544 96.568586)
+        (xy 128.342712 96.751818)
+        (xy 128.470677 96.91327)
+        (xy 128.474357 96.916402)
+        (xy 128.474359 96.916404)
+        (xy 128.565327 96.993823)
+        (xy 128.627564 97.046791)
+        (xy 128.631787 97.049151)
+        (xy 128.631791 97.049154)
+        (xy 128.671342 97.071258)
+        (xy 128.807398 97.147297)
+        (xy 128.811996 97.148791)
+        (xy 128.998724 97.209463)
+        (xy 128.998726 97.209464)
+        (xy 129.003329 97.210959)
+        (xy 129.207894 97.235351)
+        (xy 129.212716 97.23498)
+        (xy 129.212719 97.23498)
+        (xy 129.280541 97.229761)
+        (xy 129.4133 97.219546)
+        (xy 129.611725 97.164145)
+        (xy 129.616038 97.161966)
+        (xy 129.616044 97.161964)
+        (xy 129.791289 97.073441)
+        (xy 129.791291 97.07344)
+        (xy 129.79561 97.071258)
+        (xy 129.830943 97.043653)
+        (xy 129.954135 96.947406)
+        (xy 129.954139 96.947402)
+        (xy 129.957951 96.944424)
+        (xy 130.092564 96.788472)
+        (xy 130.111231 96.755613)
+        (xy 130.191934 96.61355)
+        (xy 130.191935 96.613547)
+        (xy 130.194323 96.609344)
+        (xy 130.207882 96.568586)
+        (xy 130.257824 96.418454)
+        (xy 130.257824 96.418452)
+        (xy 130.259351 96.413863)
+        (xy 130.285171 96.209474)
+        (xy 130.285583 96.18)
+        (xy 130.26548 95.97497)
+        (xy 130.259871 95.95639)
+        (xy 130.207333 95.78238)
+        (xy 130.205935 95.777749)
+        (xy 130.109218 95.595849)
+        (xy 129.979011 95.4362)
+        (xy 129.939765 95.403733)
+        (xy 129.824002 95.307965)
+        (xy 129.824 95.307964)
+        (xy 129.820275 95.304882)
+        (xy 129.639055 95.206897)
+        (xy 129.575855 95.187333)
+        (xy 129.446875 95.147407)
+        (xy 129.446871 95.147406)
+        (xy 129.442254 95.145977)
+        (xy 129.437446 95.145472)
+        (xy 129.437443 95.145471)
+        (xy 129.242185 95.124949)
+        (xy 129.242183 95.124949)
+        (xy 129.237369 95.124443)
+        (xy 129.177354 95.129905)
+        (xy 129.037022 95.142675)
+        (xy 129.037017 95.142676)
+        (xy 129.032203 95.143114)
+        (xy 128.834572 95.20128)
+        (xy 128.830288 95.203519)
+        (xy 128.830287 95.20352)
+        (xy 128.819566 95.209125)
+        (xy 128.652002 95.296726)
+        (xy 128.648231 95.299758)
+        (xy 128.49522 95.422781)
+        (xy 128.495217 95.422783)
+        (xy 128.491447 95.425815)
+        (xy 128.488333 95.429526)
+        (xy 128.488332 95.429527)
+        (xy 128.479585 95.439952)
+        (xy 128.359024 95.58363)
+        (xy 128.356689 95.587878)
+        (xy 128.356688 95.587879)
+        (xy 128.349955 95.600126)
+        (xy 128.259776 95.764162)
+        (xy 128.197484 95.960532)
+        (xy 128.196944 95.965344)
+        (xy 128.196944 95.965345)
+        (xy 128.195865 95.97497)
+        (xy 128.17452 96.165262)
+        (xy 127.5 96.165262)
+        (xy 127.5 94.5)
+        (xy 126.796328 93.796328)
+        (xy 126.789804 93.789129)
+        (xy 126.674715 93.648893)
+        (xy 126.663933 93.632756)
+        (xy 126.580717 93.47707)
+        (xy 126.57329 93.45914)
+        (xy 126.522045 93.290207)
+        (xy 126.518259 93.271173)
+        (xy 126.500477 93.090629)
+        (xy 126.5 93.080925)
+        (xy 126.5 91.898823)
+        (xy 128.494391 91.898823)
+        (xy 128.495306 91.90582)
+        (xy 128.495306 91.905821)
+        (xy 128.496814 91.917354)
+        (xy 128.51298 92.040979)
+        (xy 128.515821 92.047435)
+        (xy 128.515821 92.047436)
+        (xy 128.523586 92.065082)
+        (xy 128.57072 92.172203)
+        (xy 128.583792 92.187754)
+        (xy 128.658431 92.276549)
+        (xy 128.658434 92.276551)
+        (xy 128.66297 92.281948)
+        (xy 128.668841 92.285856)
+        (xy 128.668842 92.285857)
+        (xy 128.681143 92.294045)
+        (xy 128.782313 92.36139)
+        (xy 128.88292 92.392821)
+        (xy 128.912425 92.402039)
+        (xy 128.912426 92.402039)
+        (xy 128.919157 92.404142)
+        (xy 128.990828 92.405456)
+        (xy 129.055445 92.406641)
+        (xy 129.055447 92.406641)
+        (xy 129.062499 92.40677)
+        (xy 129.069302 92.404915)
+        (xy 129.069304 92.404915)
+        (xy 129.144503 92.384413)
+        (xy 129.200817 92.36906)
+        (xy 129.322991 92.294045)
+        (xy 129.330403 92.285857)
+        (xy 129.414468 92.192982)
+        (xy 129.4192 92.187754)
+        (xy 129.48171 92.058733)
+        (xy 129.485875 92.033982)
+        (xy 129.504862 91.921124)
+        (xy 129.504862 91.92112)
+        (xy 129.505496 91.917354)
+        (xy 129.505647 91.905)
+        (xy 129.504762 91.898823)
+        (xy 130.494391 91.898823)
+        (xy 130.495306 91.90582)
+        (xy 130.495306 91.905821)
+        (xy 130.496814 91.917354)
+        (xy 130.51298 92.040979)
+        (xy 130.515821 92.047435)
+        (xy 130.515821 92.047436)
+        (xy 130.523586 92.065082)
+        (xy 130.57072 92.172203)
+        (xy 130.583792 92.187754)
+        (xy 130.658431 92.276549)
+        (xy 130.658434 92.276551)
+        (xy 130.66297 92.281948)
+        (xy 130.668841 92.285856)
+        (xy 130.668842 92.285857)
+        (xy 130.681143 92.294045)
+        (xy 130.782313 92.36139)
+        (xy 130.88292 92.392821)
+        (xy 130.912425 92.402039)
+        (xy 130.912426 92.402039)
+        (xy 130.919157 92.404142)
+        (xy 130.990828 92.405456)
+        (xy 131.055445 92.406641)
+        (xy 131.055447 92.406641)
+        (xy 131.062499 92.40677)
+        (xy 131.069302 92.404915)
+        (xy 131.069304 92.404915)
+        (xy 131.144503 92.384413)
+        (xy 131.200817 92.36906)
+        (xy 131.322991 92.294045)
+        (xy 131.330403 92.285857)
+        (xy 131.414468 92.192982)
+        (xy 131.4192 92.187754)
+        (xy 131.48171 92.058733)
+        (xy 131.485875 92.033982)
+        (xy 131.504862 91.921124)
+        (xy 131.504862 91.92112)
+        (xy 131.505496 91.917354)
+        (xy 131.505647 91.905)
+        (xy 131.485323 91.763082)
+        (xy 131.425984 91.632572)
+        (xy 131.3324 91.523963)
+        (xy 131.212095 91.445985)
+        (xy 131.074739 91.404907)
+        (xy 130.991497 91.404398)
+        (xy 130.938427 91.404074)
+        (xy 130.938426 91.404074)
+        (xy 130.931376 91.404031)
+        (xy 130.924599 91.405968)
+        (xy 130.924598 91.405968)
+        (xy 130.800309 91.44149)
+        (xy 130.800307 91.441491)
+        (xy 130.793529 91.443428)
+        (xy 130.67228 91.51993)
+        (xy 130.667613 91.525214)
+        (xy 130.667611 91.525216)
+        (xy 130.582044 91.622103)
+        (xy 130.582042 91.622105)
+        (xy 130.577377 91.627388)
+        (xy 130.516447 91.757163)
+        (xy 130.494391 91.898823)
+        (xy 129.504762 91.898823)
+        (xy 129.485323 91.763082)
+        (xy 129.425984 91.632572)
+        (xy 129.3324 91.523963)
+        (xy 129.212095 91.445985)
+        (xy 129.074739 91.404907)
+        (xy 128.991497 91.404398)
+        (xy 128.938427 91.404074)
+        (xy 128.938426 91.404074)
+        (xy 128.931376 91.404031)
+        (xy 128.924599 91.405968)
+        (xy 128.924598 91.405968)
+        (xy 128.800309 91.44149)
+        (xy 128.800307 91.441491)
+        (xy 128.793529 91.443428)
+        (xy 128.67228 91.51993)
+        (xy 128.667613 91.525214)
+        (xy 128.667611 91.525216)
+        (xy 128.582044 91.622103)
+        (xy 128.582042 91.622105)
+        (xy 128.577377 91.627388)
+        (xy 128.516447 91.757163)
+        (xy 128.494391 91.898823)
+        (xy 126.5 91.898823)
+        (xy 126.5 90.628823)
+        (xy 128.494391 90.628823)
+        (xy 128.495306 90.63582)
+        (xy 128.495306 90.635821)
+        (xy 128.496814 90.647354)
+        (xy 128.51298 90.770979)
+        (xy 128.515821 90.777435)
+        (xy 128.515821 90.777436)
+        (xy 128.523586 90.795082)
+        (xy 128.57072 90.902203)
+        (xy 128.583792 90.917754)
+        (xy 128.658431 91.006549)
+        (xy 128.658434 91.006551)
+        (xy 128.66297 91.011948)
+        (xy 128.668841 91.015856)
+        (xy 128.668842 91.015857)
+        (xy 128.681143 91.024045)
+        (xy 128.782313 91.09139)
+        (xy 128.88292 91.122821)
+        (xy 128.912425 91.132039)
+        (xy 128.912426 91.132039)
+        (xy 128.919157 91.134142)
+        (xy 128.990828 91.135456)
+        (xy 129.055445 91.136641)
+        (xy 129.055447 91.136641)
+        (xy 129.062499 91.13677)
+        (xy 129.069302 91.134915)
+        (xy 129.069304 91.134915)
+        (xy 129.144503 91.114413)
+        (xy 129.200817 91.09906)
+        (xy 129.322991 91.024045)
+        (xy 129.330403 91.015857)
+        (xy 129.414468 90.922982)
+        (xy 129.4192 90.917754)
+        (xy 129.48171 90.788733)
+        (xy 129.485875 90.763982)
+        (xy 129.504862 90.651124)
+        (xy 129.504862 90.65112)
+        (xy 129.505496 90.647354)
+        (xy 129.505647 90.635)
+        (xy 129.504762 90.628823)
+        (xy 130.494391 90.628823)
+        (xy 130.495306 90.63582)
+        (xy 130.495306 90.635821)
+        (xy 130.496814 90.647354)
+        (xy 130.51298 90.770979)
+        (xy 130.515821 90.777435)
+        (xy 130.515821 90.777436)
+        (xy 130.523586 90.795082)
+        (xy 130.57072 90.902203)
+        (xy 130.583792 90.917754)
+        (xy 130.658431 91.006549)
+        (xy 130.658434 91.006551)
+        (xy 130.66297 91.011948)
+        (xy 130.668841 91.015856)
+        (xy 130.668842 91.015857)
+        (xy 130.681143 91.024045)
+        (xy 130.782313 91.09139)
+        (xy 130.88292 91.122821)
+        (xy 130.912425 91.132039)
+        (xy 130.912426 91.132039)
+        (xy 130.919157 91.134142)
+        (xy 130.990828 91.135456)
+        (xy 131.055445 91.136641)
+        (xy 131.055447 91.136641)
+        (xy 131.062499 91.13677)
+        (xy 131.069302 91.134915)
+        (xy 131.069304 91.134915)
+        (xy 131.144503 91.114413)
+        (xy 131.200817 91.09906)
+        (xy 131.322991 91.024045)
+        (xy 131.330403 91.015857)
+        (xy 131.414468 90.922982)
+        (xy 131.4192 90.917754)
+        (xy 131.48171 90.788733)
+        (xy 131.485875 90.763982)
+        (xy 131.504862 90.651124)
+        (xy 131.504862 90.65112)
+        (xy 131.505496 90.647354)
+        (xy 131.505647 90.635)
+        (xy 131.485323 90.493082)
+        (xy 131.425984 90.362572)
+        (xy 131.3324 90.253963)
+        (xy 131.212095 90.175985)
+        (xy 131.074739 90.134907)
+        (xy 130.991497 90.134398)
+        (xy 130.938427 90.134074)
+        (xy 130.938426 90.134074)
+        (xy 130.931376 90.134031)
+        (xy 130.924599 90.135968)
+        (xy 130.924598 90.135968)
+        (xy 130.800309 90.17149)
+        (xy 130.800307 90.171491)
+        (xy 130.793529 90.173428)
+        (xy 130.67228 90.24993)
+        (xy 130.667613 90.255214)
+        (xy 130.667611 90.255216)
+        (xy 130.582044 90.352103)
+        (xy 130.582042 90.352105)
+        (xy 130.577377 90.357388)
+        (xy 130.516447 90.487163)
+        (xy 130.494391 90.628823)
+        (xy 129.504762 90.628823)
+        (xy 129.485323 90.493082)
+        (xy 129.425984 90.362572)
+        (xy 129.3324 90.253963)
+        (xy 129.212095 90.175985)
+        (xy 129.074739 90.134907)
+        (xy 128.991497 90.134398)
+        (xy 128.938427 90.134074)
+        (xy 128.938426 90.134074)
+        (xy 128.931376 90.134031)
+        (xy 128.924599 90.135968)
+        (xy 128.924598 90.135968)
+        (xy 128.800309 90.17149)
+        (xy 128.800307 90.171491)
+        (xy 128.793529 90.173428)
+        (xy 128.67228 90.24993)
+        (xy 128.667613 90.255214)
+        (xy 128.667611 90.255216)
+        (xy 128.582044 90.352103)
+        (xy 128.582042 90.352105)
+        (xy 128.577377 90.357388)
+        (xy 128.516447 90.487163)
+        (xy 128.494391 90.628823)
+        (xy 126.5 90.628823)
+        (xy 126.5 89.358823)
+        (xy 128.494391 89.358823)
+        (xy 128.495306 89.36582)
+        (xy 128.495306 89.365821)
+        (xy 128.496814 89.377354)
+        (xy 128.51298 89.500979)
+        (xy 128.515821 89.507435)
+        (xy 128.515821 89.507436)
+        (xy 128.523586 89.525082)
+        (xy 128.57072 89.632203)
+        (xy 128.583792 89.647754)
+        (xy 128.658431 89.736549)
+        (xy 128.658434 89.736551)
+        (xy 128.66297 89.741948)
+        (xy 128.668841 89.745856)
+        (xy 128.668842 89.745857)
+        (xy 128.681143 89.754045)
+        (xy 128.782313 89.82139)
+        (xy 128.88292 89.852821)
+        (xy 128.912425 89.862039)
+        (xy 128.912426 89.862039)
+        (xy 128.919157 89.864142)
+        (xy 128.990828 89.865456)
+        (xy 129.055445 89.866641)
+        (xy 129.055447 89.866641)
+        (xy 129.062499 89.86677)
+        (xy 129.069302 89.864915)
+        (xy 129.069304 89.864915)
+        (xy 129.144503 89.844413)
+        (xy 129.200817 89.82906)
+        (xy 129.322991 89.754045)
+        (xy 129.330403 89.745857)
+        (xy 129.414468 89.652982)
+        (xy 129.4192 89.647754)
+        (xy 129.48171 89.518733)
+        (xy 129.485875 89.493982)
+        (xy 129.504862 89.381124)
+        (xy 129.504862 89.38112)
+        (xy 129.505496 89.377354)
+        (xy 129.505647 89.365)
+        (xy 129.504762 89.358823)
+        (xy 130.494391 89.358823)
+        (xy 130.495306 89.36582)
+        (xy 130.495306 89.365821)
+        (xy 130.496814 89.377354)
+        (xy 130.51298 89.500979)
+        (xy 130.515821 89.507435)
+        (xy 130.515821 89.507436)
+        (xy 130.523586 89.525082)
+        (xy 130.57072 89.632203)
+        (xy 130.583792 89.647754)
+        (xy 130.658431 89.736549)
+        (xy 130.658434 89.736551)
+        (xy 130.66297 89.741948)
+        (xy 130.668841 89.745856)
+        (xy 130.668842 89.745857)
+        (xy 130.681143 89.754045)
+        (xy 130.782313 89.82139)
+        (xy 130.88292 89.852821)
+        (xy 130.912425 89.862039)
+        (xy 130.912426 89.862039)
+        (xy 130.919157 89.864142)
+        (xy 130.990828 89.865456)
+        (xy 131.055445 89.866641)
+        (xy 131.055447 89.866641)
+        (xy 131.062499 89.86677)
+        (xy 131.069302 89.864915)
+        (xy 131.069304 89.864915)
+        (xy 131.144503 89.844413)
+        (xy 131.200817 89.82906)
+        (xy 131.322991 89.754045)
+        (xy 131.330403 89.745857)
+        (xy 131.414468 89.652982)
+        (xy 131.4192 89.647754)
+        (xy 131.48171 89.518733)
+        (xy 131.485875 89.493982)
+        (xy 131.504862 89.381124)
+        (xy 131.504862 89.38112)
+        (xy 131.505496 89.377354)
+        (xy 131.505647 89.365)
+        (xy 131.485323 89.223082)
+        (xy 131.425984 89.092572)
+        (xy 131.3324 88.983963)
+        (xy 131.212095 88.905985)
+        (xy 131.074739 88.864907)
+        (xy 130.991497 88.864398)
+        (xy 130.938427 88.864074)
+        (xy 130.938426 88.864074)
+        (xy 130.931376 88.864031)
+        (xy 130.924599 88.865968)
+        (xy 130.924598 88.865968)
+        (xy 130.800309 88.90149)
+        (xy 130.800307 88.901491)
+        (xy 130.793529 88.903428)
+        (xy 130.67228 88.97993)
+        (xy 130.667613 88.985214)
+        (xy 130.667611 88.985216)
+        (xy 130.582044 89.082103)
+        (xy 130.582042 89.082105)
+        (xy 130.577377 89.087388)
+        (xy 130.516447 89.217163)
+        (xy 130.494391 89.358823)
+        (xy 129.504762 89.358823)
+        (xy 129.485323 89.223082)
+        (xy 129.425984 89.092572)
+        (xy 129.3324 88.983963)
+        (xy 129.212095 88.905985)
+        (xy 129.074739 88.864907)
+        (xy 128.991497 88.864398)
+        (xy 128.938427 88.864074)
+        (xy 128.938426 88.864074)
+        (xy 128.931376 88.864031)
+        (xy 128.924599 88.865968)
+        (xy 128.924598 88.865968)
+        (xy 128.800309 88.90149)
+        (xy 128.800307 88.901491)
+        (xy 128.793529 88.903428)
+        (xy 128.67228 88.97993)
+        (xy 128.667613 88.985214)
+        (xy 128.667611 88.985216)
+        (xy 128.582044 89.082103)
+        (xy 128.582042 89.082105)
+        (xy 128.577377 89.087388)
+        (xy 128.516447 89.217163)
+        (xy 128.494391 89.358823)
+        (xy 126.5 89.358823)
+        (xy 126.5 88.75)
+        (xy 112.754861 88.75)
+        (xy 112.745157 88.749523)
+        (xy 112.564612 88.731741)
+        (xy 112.545582 88.727955)
+        (xy 112.461113 88.702332)
+        (xy 112.376647 88.67671)
+        (xy 112.358717 88.669283)
+        (xy 112.203031 88.586067)
+        (xy 112.186894 88.575285)
+        (xy 112.131837 88.530102)
+        (xy 112.050427 88.46329)
+        (xy 112.03671 88.449573)
+        (xy 111.924715 88.313106)
+        (xy 111.913933 88.296969)
+        (xy 111.830717 88.141283)
+        (xy 111.82329 88.123353)
+        (xy 111.812815 88.088823)
+        (xy 128.494391 88.088823)
+        (xy 128.495306 88.09582)
+        (xy 128.495306 88.095821)
+        (xy 128.496814 88.107354)
+        (xy 128.51298 88.230979)
+        (xy 128.515821 88.237435)
+        (xy 128.515821 88.237436)
+        (xy 128.523586 88.255082)
+        (xy 128.57072 88.362203)
+        (xy 128.583792 88.377754)
+        (xy 128.658431 88.466549)
+        (xy 128.658434 88.466551)
+        (xy 128.66297 88.471948)
+        (xy 128.668841 88.475856)
+        (xy 128.668842 88.475857)
+        (xy 128.681143 88.484045)
+        (xy 128.782313 88.55139)
+        (xy 128.858798 88.575285)
+        (xy 128.912425 88.592039)
+        (xy 128.912426 88.592039)
+        (xy 128.919157 88.594142)
+        (xy 128.990828 88.595456)
+        (xy 129.055445 88.596641)
+        (xy 129.055447 88.596641)
+        (xy 129.062499 88.59677)
+        (xy 129.069302 88.594915)
+        (xy 129.069304 88.594915)
+        (xy 129.144503 88.574413)
+        (xy 129.200817 88.55906)
+        (xy 129.322991 88.484045)
+        (xy 129.330403 88.475857)
+        (xy 129.414468 88.382982)
+        (xy 129.4192 88.377754)
+        (xy 129.48171 88.248733)
+        (xy 129.485875 88.223982)
+        (xy 129.504862 88.111124)
+        (xy 129.504862 88.11112)
+        (xy 129.505496 88.107354)
+        (xy 129.505647 88.095)
+        (xy 129.485323 87.953082)
+        (xy 129.425984 87.822572)
+        (xy 129.3324 87.713963)
+        (xy 129.212095 87.635985)
+        (xy 129.074739 87.594907)
+        (xy 128.991497 87.594398)
+        (xy 128.938427 87.594074)
+        (xy 128.938426 87.594074)
+        (xy 128.931376 87.594031)
+        (xy 128.924599 87.595968)
+        (xy 128.924598 87.595968)
+        (xy 128.800309 87.63149)
+        (xy 128.800307 87.631491)
+        (xy 128.793529 87.633428)
+        (xy 128.67228 87.70993)
+        (xy 128.667613 87.715214)
+        (xy 128.667611 87.715216)
+        (xy 128.582044 87.812103)
+        (xy 128.582042 87.812105)
+        (xy 128.577377 87.817388)
+        (xy 128.516447 87.947163)
+        (xy 128.494391 88.088823)
+        (xy 111.812815 88.088823)
+        (xy 111.772045 87.95442)
+        (xy 111.768259 87.935386)
+        (xy 111.757781 87.828996)
+        (xy 111.750477 87.754843)
+        (xy 111.75 87.745139)
+        (xy 111.75 86.743823)
+        (xy 123.244391 86.743823)
+        (xy 123.245306 86.75082)
+        (xy 123.245306 86.750821)
+        (xy 123.246814 86.762354)
+        (xy 123.26298 86.885979)
+        (xy 123.265821 86.892435)
+        (xy 123.265821 86.892436)
+        (xy 123.273586 86.910082)
+        (xy 123.32072 87.017203)
+        (xy 123.333792 87.032754)
+        (xy 123.408431 87.121549)
+        (xy 123.408434 87.121551)
+        (xy 123.41297 87.126948)
+        (xy 123.418841 87.130856)
+        (xy 123.418842 87.130857)
+        (xy 123.431143 87.139045)
+        (xy 123.532313 87.20639)
+        (xy 123.63292 87.237821)
+        (xy 123.662425 87.247039)
+        (xy 123.662426 87.247039)
+        (xy 123.669157 87.249142)
+        (xy 123.740828 87.250456)
+        (xy 123.805445 87.251641)
+        (xy 123.805447 87.251641)
+        (xy 123.812499 87.25177)
+        (xy 123.819302 87.249915)
+        (xy 123.819304 87.249915)
+        (xy 123.894503 87.229413)
+        (xy 123.950817 87.21406)
+        (xy 124.072991 87.139045)
+        (xy 124.080403 87.130857)
+        (xy 124.164468 87.037982)
+        (xy 124.1692 87.032754)
+        (xy 124.23171 86.903733)
+        (xy 124.235875 86.878982)
+        (xy 124.254862 86.766124)
+        (xy 124.254862 86.76612)
+        (xy 124.255496 86.762354)
+        (xy 124.255647 86.75)
+        (xy 124.235323 86.608082)
+        (xy 124.186986 86.50177)
+        (xy 124.178905 86.483996)
+        (xy 124.178904 86.483995)
+        (xy 124.175984 86.477572)
+        (xy 124.092649 86.380857)
+        (xy 124.087005 86.374307)
+        (xy 124.087004 86.374306)
+        (xy 124.0824 86.368963)
+        (xy 123.962095 86.290985)
+        (xy 123.824739 86.249907)
+        (xy 123.741497 86.249398)
+        (xy 123.688427 86.249074)
+        (xy 123.688426 86.249074)
+        (xy 123.681376 86.249031)
+        (xy 123.674599 86.250968)
+        (xy 123.674598 86.250968)
+        (xy 123.550309 86.28649)
+        (xy 123.550307 86.286491)
+        (xy 123.543529 86.288428)
+        (xy 123.42228 86.36493)
+        (xy 123.417613 86.370214)
+        (xy 123.417611 86.370216)
+        (xy 123.332044 86.467103)
+        (xy 123.332042 86.467105)
+        (xy 123.327377 86.472388)
+        (xy 123.266447 86.602163)
+        (xy 123.244391 86.743823)
+        (xy 111.75 86.743823)
+        (xy 111.75 86.568039)
+        (xy 111.75278 86.553039)
+        (xy 111.797162 86.510922)
+        (xy 111.841597 86.501295)
+        (xy 111.847396 86.501401)
+        (xy 111.860446 86.501641)
+        (xy 111.860448 86.501641)
+        (xy 111.867499 86.50177)
+        (xy 111.874302 86.499915)
+        (xy 111.874304 86.499915)
+        (xy 111.97527 86.472388)
+        (xy 112.005817 86.46406)
+        (xy 112.127991 86.389045)
+        (xy 112.135403 86.380857)
+        (xy 112.219124 86.288362)
+        (xy 112.272192 86.257908)
+        (xy 112.333025 86.264463)
+        (xy 112.368303 86.291094)
+        (xy 112.44047 86.376948)
+        (xy 112.446341 86.380856)
+        (xy 112.446342 86.380857)
+        (xy 112.458643 86.389045)
+        (xy 112.559813 86.45639)
+        (xy 112.66042 86.487821)
+        (xy 112.689925 86.497039)
+        (xy 112.689926 86.497039)
+        (xy 112.696657 86.499142)
+        (xy 112.768328 86.500456)
+        (xy 112.832945 86.501641)
+        (xy 112.832947 86.501641)
+        (xy 112.839999 86.50177)
+        (xy 112.846802 86.499915)
+        (xy 112.846804 86.499915)
+        (xy 112.94777 86.472388)
+        (xy 112.978317 86.46406)
+        (xy 113.100491 86.389045)
+        (xy 113.107903 86.380857)
+        (xy 113.191968 86.287982)
+        (xy 113.1967 86.282754)
+        (xy 113.25921 86.153733)
+        (xy 113.26038 86.146777)
+        (xy 113.260381 86.146775)
+        (xy 113.282362 86.016124)
+        (xy 113.282362 86.01612)
+        (xy 113.282996 86.012354)
+        (xy 113.283147 86)
+        (xy 113.282262 85.993823)
+        (xy 114.176891 85.993823)
+        (xy 114.177806 86.00082)
+        (xy 114.177806 86.000821)
+        (xy 114.179104 86.010747)
+        (xy 114.19548 86.135979)
+        (xy 114.198321 86.142435)
+        (xy 114.198321 86.142436)
+        (xy 114.246373 86.251641)
+        (xy 114.25322 86.267203)
+        (xy 114.274225 86.292191)
+        (xy 114.340931 86.371549)
+        (xy 114.340934 86.371551)
+        (xy 114.34547 86.376948)
+        (xy 114.351341 86.380856)
+        (xy 114.351342 86.380857)
+        (xy 114.363643 86.389045)
+        (xy 114.464813 86.45639)
+        (xy 114.56542 86.487821)
+        (xy 114.594925 86.497039)
+        (xy 114.594926 86.497039)
+        (xy 114.601657 86.499142)
+        (xy 114.673328 86.500456)
+        (xy 114.737945 86.501641)
+        (xy 114.737947 86.501641)
+        (xy 114.744999 86.50177)
+        (xy 114.751802 86.499915)
+        (xy 114.751804 86.499915)
+        (xy 114.85277 86.472388)
+        (xy 114.883317 86.46406)
+        (xy 115.005491 86.389045)
+        (xy 115.012903 86.380857)
+        (xy 115.096968 86.287982)
+        (xy 115.1017 86.282754)
+        (xy 115.16421 86.153733)
+        (xy 115.176209 86.082414)
+        (xy 115.204509 86.028167)
+        (xy 115.25929 86.000915)
+        (xy 115.275652 85.999857)
+        (xy 115.372945 86.001641)
+        (xy 115.372947 86.001641)
+        (xy 115.379999 86.00177)
+        (xy 115.386802 85.999915)
+        (xy 115.386804 85.999915)
+        (xy 115.462003 85.979413)
+        (xy 115.518317 85.96406)
+        (xy 115.640491 85.889045)
+        (xy 115.6496 85.878982)
+        (xy 115.731968 85.787982)
+        (xy 115.7367 85.782754)
+        (xy 115.755562 85.743823)
+        (xy 119.609391 85.743823)
+        (xy 119.610306 85.75082)
+        (xy 119.610306 85.750821)
+        (xy 119.611814 85.762354)
+        (xy 119.62798 85.885979)
+        (xy 119.630821 85.892435)
+        (xy 119.630821 85.892436)
+        (xy 119.678873 86.001641)
+        (xy 119.68572 86.017203)
+        (xy 119.698792 86.032754)
+        (xy 119.773431 86.121549)
+        (xy 119.773434 86.121551)
+        (xy 119.77797 86.126948)
+        (xy 119.783841 86.130856)
+        (xy 119.783842 86.130857)
+        (xy 119.791537 86.135979)
+        (xy 119.897313 86.20639)
+        (xy 119.99792 86.237821)
+        (xy 120.027425 86.247039)
+        (xy 120.027426 86.247039)
+        (xy 120.034157 86.249142)
+        (xy 120.105828 86.250456)
+        (xy 120.170445 86.251641)
+        (xy 120.170447 86.251641)
+        (xy 120.177499 86.25177)
+        (xy 120.184302 86.249915)
+        (xy 120.184304 86.249915)
+        (xy 120.259503 86.229413)
+        (xy 120.315817 86.21406)
+        (xy 120.437991 86.139045)
+        (xy 120.445403 86.130857)
+        (xy 120.529468 86.037982)
+        (xy 120.5342 86.032754)
+        (xy 120.553062 85.993823)
+        (xy 120.879391 85.993823)
+        (xy 120.880306 86.00082)
+        (xy 120.880306 86.000821)
+        (xy 120.881604 86.010747)
+        (xy 120.89798 86.135979)
+        (xy 120.900821 86.142435)
+        (xy 120.900821 86.142436)
+        (xy 120.948873 86.251641)
+        (xy 120.95572 86.267203)
+        (xy 120.976725 86.292191)
+        (xy 121.043431 86.371549)
+        (xy 121.043434 86.371551)
+        (xy 121.04797 86.376948)
+        (xy 121.053841 86.380856)
+        (xy 121.053842 86.380857)
+        (xy 121.066143 86.389045)
+        (xy 121.167313 86.45639)
+        (xy 121.26792 86.487821)
+        (xy 121.297425 86.497039)
+        (xy 121.297426 86.497039)
+        (xy 121.304157 86.499142)
+        (xy 121.375828 86.500456)
+        (xy 121.440445 86.501641)
+        (xy 121.440447 86.501641)
+        (xy 121.447499 86.50177)
+        (xy 121.454302 86.499915)
+        (xy 121.454304 86.499915)
+        (xy 121.55527 86.472388)
+        (xy 121.585817 86.46406)
+        (xy 121.707991 86.389045)
+        (xy 121.715403 86.380857)
+        (xy 121.799468 86.287982)
+        (xy 121.8042 86.282754)
+        (xy 121.86671 86.153733)
+        (xy 121.86788 86.146777)
+        (xy 121.867881 86.146775)
+        (xy 121.889862 86.016124)
+        (xy 121.889862 86.01612)
+        (xy 121.890496 86.012354)
+        (xy 121.890647 86)
+        (xy 121.870323 85.858082)
+        (xy 121.833189 85.776409)
+        (xy 121.813905 85.733996)
+        (xy 121.813904 85.733995)
+        (xy 121.810984 85.727572)
+        (xy 121.74736 85.653733)
+        (xy 121.722005 85.624307)
+        (xy 121.722002 85.624304)
+        (xy 121.7174 85.618963)
+        (xy 121.597095 85.540985)
+        (xy 121.459739 85.499907)
+        (xy 121.376497 85.499398)
+        (xy 121.323427 85.499074)
+        (xy 121.323426 85.499074)
+        (xy 121.316376 85.499031)
+        (xy 121.309599 85.500968)
+        (xy 121.309598 85.500968)
+        (xy 121.185309 85.53649)
+        (xy 121.185307 85.536491)
+        (xy 121.178529 85.538428)
+        (xy 121.05728 85.61493)
+        (xy 121.052613 85.620214)
+        (xy 121.052611 85.620216)
+        (xy 120.967044 85.717103)
+        (xy 120.967042 85.717105)
+        (xy 120.962377 85.722388)
+        (xy 120.959381 85.72877)
+        (xy 120.95938 85.728771)
+        (xy 120.948643 85.751641)
+        (xy 120.901447 85.852163)
+        (xy 120.900362 85.859132)
+        (xy 120.900361 85.859135)
+        (xy 120.892429 85.910082)
+        (xy 120.879391 85.993823)
+        (xy 120.553062 85.993823)
+        (xy 120.59671 85.903733)
+        (xy 120.599182 85.889045)
+        (xy 120.619862 85.766124)
+        (xy 120.619862 85.76612)
+        (xy 120.620496 85.762354)
+        (xy 120.620647 85.75)
+        (xy 120.600323 85.608082)
+        (xy 120.558985 85.517164)
+        (xy 120.543905 85.483996)
+        (xy 120.543904 85.483995)
+        (xy 120.540984 85.477572)
+        (xy 120.47736 85.403733)
+        (xy 120.452005 85.374307)
+        (xy 120.452004 85.374306)
+        (xy 120.4474 85.368963)
+        (xy 120.327095 85.290985)
+        (xy 120.189739 85.249907)
+        (xy 120.106497 85.249398)
+        (xy 120.053427 85.249074)
+        (xy 120.053426 85.249074)
+        (xy 120.046376 85.249031)
+        (xy 120.039599 85.250968)
+        (xy 120.039598 85.250968)
+        (xy 119.915309 85.28649)
+        (xy 119.915307 85.286491)
+        (xy 119.908529 85.288428)
+        (xy 119.78728 85.36493)
+        (xy 119.782613 85.370214)
+        (xy 119.782611 85.370216)
+        (xy 119.697044 85.467103)
+        (xy 119.697042 85.467105)
+        (xy 119.692377 85.472388)
+        (xy 119.689381 85.47877)
+        (xy 119.68938 85.478771)
+        (xy 119.678643 85.501641)
+        (xy 119.631447 85.602163)
+        (xy 119.630362 85.609132)
+        (xy 119.630361 85.609135)
+        (xy 119.622429 85.660082)
+        (xy 119.609391 85.743823)
+        (xy 115.755562 85.743823)
+        (xy 115.79921 85.653733)
+        (xy 115.800714 85.644797)
+        (xy 115.822362 85.516124)
+        (xy 115.822362 85.51612)
+        (xy 115.822996 85.512354)
+        (xy 115.823147 85.5)
+        (xy 115.802823 85.358082)
+        (xy 115.775702 85.298433)
+        (xy 115.746405 85.233996)
+        (xy 115.746404 85.233995)
+        (xy 115.743484 85.227572)
+        (xy 115.67986 85.153733)
+        (xy 115.654505 85.124307)
+        (xy 115.654504 85.124306)
+        (xy 115.6499 85.118963)
+        (xy 115.529595 85.040985)
+        (xy 115.392239 84.999907)
+        (xy 115.308997 84.999398)
+        (xy 115.255927 84.999074)
+        (xy 115.255926 84.999074)
+        (xy 115.248876 84.999031)
+        (xy 115.242099 85.000968)
+        (xy 115.242098 85.000968)
+        (xy 115.117809 85.03649)
+        (xy 115.117807 85.036491)
+        (xy 115.111029 85.038428)
+        (xy 114.98978 85.11493)
+        (xy 114.985113 85.120214)
+        (xy 114.985111 85.120216)
+        (xy 114.899544 85.217103)
+        (xy 114.899542 85.217105)
+        (xy 114.894877 85.222388)
+        (xy 114.891881 85.22877)
+        (xy 114.89188 85.228771)
+        (xy 114.881143 85.251641)
+        (xy 114.833947 85.352163)
+        (xy 114.829019 85.383814)
+        (xy 114.824016 85.415947)
+        (xy 114.796382 85.470536)
+        (xy 114.741938 85.498456)
+        (xy 114.725595 85.499714)
+        (xy 114.639629 85.499188)
+        (xy 114.620927 85.499074)
+        (xy 114.620926 85.499074)
+        (xy 114.613876 85.499031)
+        (xy 114.607099 85.500968)
+        (xy 114.607098 85.500968)
+        (xy 114.482809 85.53649)
+        (xy 114.482807 85.536491)
+        (xy 114.476029 85.538428)
+        (xy 114.35478 85.61493)
+        (xy 114.350113 85.620214)
+        (xy 114.350111 85.620216)
+        (xy 114.264544 85.717103)
+        (xy 114.264542 85.717105)
+        (xy 114.259877 85.722388)
+        (xy 114.256881 85.72877)
+        (xy 114.25688 85.728771)
+        (xy 114.246143 85.751641)
+        (xy 114.198947 85.852163)
+        (xy 114.197862 85.859132)
+        (xy 114.197861 85.859135)
+        (xy 114.189929 85.910082)
+        (xy 114.176891 85.993823)
+        (xy 113.282262 85.993823)
+        (xy 113.262823 85.858082)
+        (xy 113.225689 85.776409)
+        (xy 113.206405 85.733996)
+        (xy 113.206404 85.733995)
+        (xy 113.203484 85.727572)
+        (xy 113.13986 85.653733)
+        (xy 113.114505 85.624307)
+        (xy 113.114502 85.624304)
+        (xy 113.1099 85.618963)
+        (xy 112.989595 85.540985)
+        (xy 112.852239 85.499907)
+        (xy 112.768997 85.499398)
+        (xy 112.715927 85.499074)
+        (xy 112.715926 85.499074)
+        (xy 112.708876 85.499031)
+        (xy 112.702099 85.500968)
+        (xy 112.702098 85.500968)
+        (xy 112.577809 85.53649)
+        (xy 112.577807 85.536491)
+        (xy 112.571029 85.538428)
+        (xy 112.44978 85.61493)
+        (xy 112.445113 85.620214)
+        (xy 112.445111 85.620216)
+        (xy 112.409903 85.660082)
+        (xy 112.36715 85.708492)
+        (xy 112.36503 85.710892)
+        (xy 112.312338 85.741992)
+        (xy 112.25143 85.736182)
+        (xy 112.215827 85.709982)
+        (xy 112.214544 85.708492)
+        (xy 112.1374 85.618963)
+        (xy 112.017095 85.540985)
+        (xy 111.879739 85.499907)
+        (xy 111.796497 85.499398)
+        (xy 111.743427 85.499074)
+        (xy 111.743426 85.499074)
+        (xy 111.736376 85.499031)
+        (xy 111.729599 85.500968)
+        (xy 111.729598 85.500968)
+        (xy 111.603038 85.537139)
+        (xy 111.541892 85.53495)
+        (xy 111.5 85.502161)
+        (xy 111.5 85.25)
+        (xy 111.243823 84.993823)
+        (xy 113.169391 84.993823)
+        (xy 113.170306 85.00082)
+        (xy 113.170306 85.000821)
+        (xy 113.185245 85.115066)
+        (xy 113.18798 85.135979)
+        (xy 113.190821 85.142435)
+        (xy 113.190821 85.142436)
+        (xy 113.238873 85.251641)
+        (xy 113.24572 85.267203)
+        (xy 113.285893 85.314995)
+        (xy 113.333431 85.371549)
+        (xy 113.333434 85.371551)
+        (xy 113.33797 85.376948)
+        (xy 113.343841 85.380856)
+        (xy 113.343842 85.380857)
+        (xy 113.351537 85.385979)
+        (xy 113.457313 85.45639)
+        (xy 113.55792 85.487821)
+        (xy 113.587425 85.497039)
+        (xy 113.587426 85.497039)
+        (xy 113.594157 85.499142)
+        (xy 113.665828 85.500456)
+        (xy 113.730445 85.501641)
+        (xy 113.730447 85.501641)
+        (xy 113.737499 85.50177)
+        (xy 113.744302 85.499915)
+        (xy 113.744304 85.499915)
+        (xy 113.84527 85.472388)
+        (xy 113.875817 85.46406)
+        (xy 113.997991 85.389045)
+        (xy 114.005403 85.380857)
+        (xy 114.089468 85.287982)
+        (xy 114.0942 85.282754)
+        (xy 114.15671 85.153733)
+        (xy 114.159182 85.139045)
+        (xy 114.179862 85.016124)
+        (xy 114.179862 85.01612)
+        (xy 114.180496 85.012354)
+        (xy 114.180647 85)
+        (xy 114.160323 84.858082)
+        (xy 114.127772 84.78649)
+        (xy 114.108373 84.743823)
+        (xy 118.494391 84.743823)
+        (xy 118.495306 84.75082)
+        (xy 118.495306 84.750821)
+        (xy 118.510245 84.865066)
+        (xy 118.51298 84.885979)
+        (xy 118.515821 84.892435)
+        (xy 118.515821 84.892436)
+        (xy 118.563512 85.000821)
+        (xy 118.57072 85.017203)
+        (xy 118.589012 85.038964)
+        (xy 118.658431 85.121549)
+        (xy 118.658434 85.121551)
+        (xy 118.66297 85.126948)
+        (xy 118.668841 85.130856)
+        (xy 118.668842 85.130857)
+        (xy 118.676537 85.135979)
+        (xy 118.782313 85.20639)
+        (xy 118.879809 85.236849)
+        (xy 118.912425 85.247039)
+        (xy 118.912426 85.247039)
+        (xy 118.919157 85.249142)
+        (xy 118.990828 85.250456)
+        (xy 119.055445 85.251641)
+        (xy 119.055447 85.251641)
+        (xy 119.062499 85.25177)
+        (xy 119.069302 85.249915)
+        (xy 119.069304 85.249915)
+        (xy 119.091649 85.243823)
+        (xy 123.244391 85.243823)
+        (xy 123.245306 85.25082)
+        (xy 123.245306 85.250821)
+        (xy 123.261454 85.374307)
+        (xy 123.26298 85.385979)
+        (xy 123.265821 85.392435)
+        (xy 123.265821 85.392436)
+        (xy 123.313873 85.501641)
+        (xy 123.32072 85.517203)
+        (xy 123.339012 85.538964)
+        (xy 123.408431 85.621549)
+        (xy 123.408434 85.621551)
+        (xy 123.41297 85.626948)
+        (xy 123.418841 85.630856)
+        (xy 123.418842 85.630857)
+        (xy 123.431143 85.639045)
+        (xy 123.532313 85.70639)
+        (xy 123.627674 85.736182)
+        (xy 123.662425 85.747039)
+        (xy 123.662426 85.747039)
+        (xy 123.669157 85.749142)
+        (xy 123.740828 85.750456)
+        (xy 123.805445 85.751641)
+        (xy 123.805447 85.751641)
+        (xy 123.812499 85.75177)
+        (xy 123.819302 85.749915)
+        (xy 123.819304 85.749915)
+        (xy 123.92027 85.722388)
+        (xy 123.950817 85.71406)
+        (xy 124.072991 85.639045)
+        (xy 124.080403 85.630857)
+        (xy 124.164468 85.537982)
+        (xy 124.1692 85.532754)
+        (xy 124.23171 85.403733)
+        (xy 124.234182 85.389045)
+        (xy 124.254862 85.266124)
+        (xy 124.254862 85.26612)
+        (xy 124.255496 85.262354)
+        (xy 124.255647 85.25)
+        (xy 124.235323 85.108082)
+        (xy 124.194003 85.017203)
+        (xy 124.178905 84.983996)
+        (xy 124.178904 84.983995)
+        (xy 124.175984 84.977572)
+        (xy 124.11236 84.903733)
+        (xy 124.087005 84.874307)
+        (xy 124.087004 84.874306)
+        (xy 124.0824 84.868963)
+        (xy 123.962095 84.790985)
+        (xy 123.824739 84.749907)
+        (xy 123.741497 84.749398)
+        (xy 123.688427 84.749074)
+        (xy 123.688426 84.749074)
+        (xy 123.681376 84.749031)
+        (xy 123.674599 84.750968)
+        (xy 123.674598 84.750968)
+        (xy 123.550309 84.78649)
+        (xy 123.550307 84.786491)
+        (xy 123.543529 84.788428)
+        (xy 123.42228 84.86493)
+        (xy 123.417613 84.870214)
+        (xy 123.417611 84.870216)
+        (xy 123.332044 84.967103)
+        (xy 123.332042 84.967105)
+        (xy 123.327377 84.972388)
+        (xy 123.324381 84.97877)
+        (xy 123.32438 84.978771)
+        (xy 123.313507 85.001929)
+        (xy 123.266447 85.102163)
+        (xy 123.265362 85.109132)
+        (xy 123.265361 85.109135)
+        (xy 123.257429 85.160082)
+        (xy 123.244391 85.243823)
+        (xy 119.091649 85.243823)
+        (xy 119.17027 85.222388)
+        (xy 119.200817 85.21406)
+        (xy 119.322991 85.139045)
+        (xy 119.330403 85.130857)
+        (xy 119.414468 85.037982)
+        (xy 119.4192 85.032754)
+        (xy 119.48171 84.903733)
+        (xy 119.485875 84.878982)
+        (xy 119.504862 84.766124)
+        (xy 119.504862 84.76612)
+        (xy 119.505496 84.762354)
+        (xy 119.505647 84.75)
+        (xy 119.485323 84.608082)
+        (xy 119.441068 84.510747)
+        (xy 119.428905 84.483996)
+        (xy 119.428904 84.483995)
+        (xy 119.425984 84.477572)
+        (xy 119.36236 84.403733)
+        (xy 119.337005 84.374307)
+        (xy 119.337004 84.374306)
+        (xy 119.3324 84.368963)
+        (xy 119.212095 84.290985)
+        (xy 119.074739 84.249907)
+        (xy 118.991497 84.249398)
+        (xy 118.938427 84.249074)
+        (xy 118.938426 84.249074)
+        (xy 118.931376 84.249031)
+        (xy 118.924599 84.250968)
+        (xy 118.924598 84.250968)
+        (xy 118.800309 84.28649)
+        (xy 118.800307 84.286491)
+        (xy 118.793529 84.288428)
+        (xy 118.67228 84.36493)
+        (xy 118.667613 84.370214)
+        (xy 118.667611 84.370216)
+        (xy 118.582044 84.467103)
+        (xy 118.582042 84.467105)
+        (xy 118.577377 84.472388)
+        (xy 118.574381 84.47877)
+        (xy 118.57438 84.478771)
+        (xy 118.563507 84.501929)
+        (xy 118.516447 84.602163)
+        (xy 118.515362 84.609132)
+        (xy 118.515361 84.609135)
+        (xy 118.503035 84.688302)
+        (xy 118.494391 84.743823)
+        (xy 114.108373 84.743823)
+        (xy 114.103905 84.733996)
+        (xy 114.103904 84.733995)
+        (xy 114.100984 84.727572)
+        (xy 114.015491 84.628353)
+        (xy 114.012005 84.624307)
+        (xy 114.012004 84.624306)
+        (xy 114.0074 84.618963)
+        (xy 113.887095 84.540985)
+        (xy 113.749739 84.499907)
+        (xy 113.666497 84.499398)
+        (xy 113.613427 84.499074)
+        (xy 113.613426 84.499074)
+        (xy 113.606376 84.499031)
+        (xy 113.599599 84.500968)
+        (xy 113.599598 84.500968)
+        (xy 113.475309 84.53649)
+        (xy 113.475307 84.536491)
+        (xy 113.468529 84.538428)
+        (xy 113.34728 84.61493)
+        (xy 113.342613 84.620214)
+        (xy 113.342611 84.620216)
+        (xy 113.257044 84.717103)
+        (xy 113.257042 84.717105)
+        (xy 113.252377 84.722388)
+        (xy 113.249381 84.72877)
+        (xy 113.24938 84.728771)
+        (xy 113.238643 84.751641)
+        (xy 113.191447 84.852163)
+        (xy 113.190362 84.859132)
+        (xy 113.190361 84.859135)
+        (xy 113.182429 84.910082)
+        (xy 113.169391 84.993823)
+        (xy 111.243823 84.993823)
+        (xy 111.046328 84.796328)
+        (xy 111.039804 84.789129)
+        (xy 110.965776 84.698926)
+        (xy 110.943476 84.641949)
+        (xy 110.943609 84.628353)
+        (xy 110.943928 84.624307)
+        (xy 110.946706 84.589)
+        (xy 110.95231 84.517806)
+        (xy 110.953244 84.511751)
+        (xy 110.953234 84.51175)
+        (xy 110.953875 84.506182)
+        (xy 110.955142 84.500716)
+        (xy 110.955143 84.5)
+        (xy 110.952479 84.488321)
+        (xy 110.95 84.466304)
+        (xy 110.95 84.243823)
+        (xy 120.994391 84.243823)
+        (xy 120.995306 84.25082)
+        (xy 120.995306 84.250821)
+        (xy 121.010253 84.365126)
+        (xy 121.01298 84.385979)
+        (xy 121.015821 84.392435)
+        (xy 121.015821 84.392436)
+        (xy 121.067741 84.510432)
+        (xy 121.07072 84.517203)
+        (xy 121.089012 84.538964)
+        (xy 121.158431 84.621549)
+        (xy 121.158434 84.621551)
+        (xy 121.16297 84.626948)
+        (xy 121.168841 84.630856)
+        (xy 121.168842 84.630857)
+        (xy 121.181143 84.639045)
+        (xy 121.282313 84.70639)
+        (xy 121.379809 84.736849)
+        (xy 121.412425 84.747039)
+        (xy 121.412426 84.747039)
+        (xy 121.419157 84.749142)
+        (xy 121.490828 84.750456)
+        (xy 121.555445 84.751641)
+        (xy 121.555447 84.751641)
+        (xy 121.562499 84.75177)
+        (xy 121.569302 84.749915)
+        (xy 121.569304 84.749915)
+        (xy 121.67027 84.722388)
+        (xy 121.700817 84.71406)
+        (xy 121.822991 84.639045)
+        (xy 121.830403 84.630857)
+        (xy 121.914468 84.537982)
+        (xy 121.9192 84.532754)
+        (xy 121.98171 84.403733)
+        (xy 121.985875 84.378982)
+        (xy 122.004862 84.266124)
+        (xy 122.004862 84.26612)
+        (xy 122.005496 84.262354)
+        (xy 122.005647 84.25)
+        (xy 121.985323 84.108082)
+        (xy 121.925984 83.977572)
+        (xy 121.86236 83.903733)
+        (xy 121.837005 83.874307)
+        (xy 121.837004 83.874306)
+        (xy 121.8324 83.868963)
+        (xy 121.712095 83.790985)
+        (xy 121.574739 83.749907)
+        (xy 121.491497 83.749398)
+        (xy 121.438427 83.749074)
+        (xy 121.438426 83.749074)
+        (xy 121.431376 83.749031)
+        (xy 121.424599 83.750968)
+        (xy 121.424598 83.750968)
+        (xy 121.300309 83.78649)
+        (xy 121.300307 83.786491)
+        (xy 121.293529 83.788428)
+        (xy 121.17228 83.86493)
+        (xy 121.167613 83.870214)
+        (xy 121.167611 83.870216)
+        (xy 121.082044 83.967103)
+        (xy 121.082042 83.967105)
+        (xy 121.077377 83.972388)
+        (xy 121.016447 84.102163)
+        (xy 121.015362 84.109132)
+        (xy 121.015361 84.109135)
+        (xy 121.000828 84.202482)
+        (xy 120.994391 84.243823)
+        (xy 110.95 84.243823)
+        (xy 110.95 83.743823)
+        (xy 126.494391 83.743823)
+        (xy 126.495306 83.75082)
+        (xy 126.495306 83.750821)
+        (xy 126.510253 83.865126)
+        (xy 126.51298 83.885979)
+        (xy 126.515821 83.892435)
+        (xy 126.515821 83.892436)
+        (xy 126.556109 83.983996)
+        (xy 126.57072 84.017203)
+        (xy 126.583792 84.032754)
+        (xy 126.658431 84.121549)
+        (xy 126.658434 84.121551)
+        (xy 126.66297 84.126948)
+        (xy 126.668841 84.130856)
+        (xy 126.668842 84.130857)
+        (xy 126.681143 84.139045)
+        (xy 126.782313 84.20639)
+        (xy 126.879809 84.236849)
+        (xy 126.912425 84.247039)
+        (xy 126.912426 84.247039)
+        (xy 126.919157 84.249142)
+        (xy 126.990828 84.250456)
+        (xy 127.055445 84.251641)
+        (xy 127.055447 84.251641)
+        (xy 127.062499 84.25177)
+        (xy 127.069302 84.249915)
+        (xy 127.069304 84.249915)
+        (xy 127.144503 84.229413)
+        (xy 127.200817 84.21406)
+        (xy 127.322991 84.139045)
+        (xy 127.330403 84.130857)
+        (xy 127.414468 84.037982)
+        (xy 127.4192 84.032754)
+        (xy 127.48171 83.903733)
+        (xy 127.485875 83.878982)
+        (xy 127.504862 83.766124)
+        (xy 127.504862 83.76612)
+        (xy 127.505496 83.762354)
+        (xy 127.505647 83.75)
+        (xy 127.485323 83.608082)
+        (xy 127.425984 83.477572)
+        (xy 127.3324 83.368963)
+        (xy 127.212095 83.290985)
+        (xy 127.074739 83.249907)
+        (xy 126.991497 83.249398)
+        (xy 126.938427 83.249074)
+        (xy 126.938426 83.249074)
+        (xy 126.931376 83.249031)
+        (xy 126.924599 83.250968)
+        (xy 126.924598 83.250968)
+        (xy 126.800309 83.28649)
+        (xy 126.800307 83.286491)
+        (xy 126.793529 83.288428)
+        (xy 126.67228 83.36493)
+        (xy 126.667613 83.370214)
+        (xy 126.667611 83.370216)
+        (xy 126.582044 83.467103)
+        (xy 126.582042 83.467105)
+        (xy 126.577377 83.472388)
+        (xy 126.516447 83.602163)
+        (xy 126.494391 83.743823)
+        (xy 110.95 83.743823)
+        (xy 110.95 82.493823)
+        (xy 122.494391 82.493823)
+        (xy 122.495306 82.50082)
+        (xy 122.495306 82.500821)
+        (xy 122.496814 82.512354)
+        (xy 122.51298 82.635979)
+        (xy 122.515821 82.642435)
+        (xy 122.515821 82.642436)
+        (xy 122.523586 82.660082)
+        (xy 122.57072 82.767203)
+        (xy 122.583792 82.782754)
+        (xy 122.658431 82.871549)
+        (xy 122.658434 82.871551)
+        (xy 122.66297 82.876948)
+        (xy 122.668841 82.880856)
+        (xy 122.668842 82.880857)
+        (xy 122.681143 82.889045)
+        (xy 122.782313 82.95639)
+        (xy 122.88292 82.987821)
+        (xy 122.912425 82.997039)
+        (xy 122.912426 82.997039)
+        (xy 122.919157 82.999142)
+        (xy 122.990828 83.000456)
+        (xy 123.055445 83.001641)
+        (xy 123.055447 83.001641)
+        (xy 123.062499 83.00177)
+        (xy 123.069302 82.999915)
+        (xy 123.069304 82.999915)
+        (xy 123.144503 82.979413)
+        (xy 123.200817 82.96406)
+        (xy 123.322991 82.889045)
+        (xy 123.330403 82.880857)
+        (xy 123.414468 82.787982)
+        (xy 123.4192 82.782754)
+        (xy 123.48171 82.653733)
+        (xy 123.485875 82.628982)
+        (xy 123.504862 82.516124)
+        (xy 123.504862 82.51612)
+        (xy 123.505496 82.512354)
+        (xy 123.505647 82.5)
+        (xy 123.485323 82.358082)
+        (xy 123.425984 82.227572)
+        (xy 123.3324 82.118963)
+        (xy 123.212095 82.040985)
+        (xy 123.074739 81.999907)
+        (xy 122.991497 81.999398)
+        (xy 122.938427 81.999074)
+        (xy 122.938426 81.999074)
+        (xy 122.931376 81.999031)
+        (xy 122.924599 82.000968)
+        (xy 122.924598 82.000968)
+        (xy 122.800309 82.03649)
+        (xy 122.800307 82.036491)
+        (xy 122.793529 82.038428)
+        (xy 122.67228 82.11493)
+        (xy 122.667613 82.120214)
+        (xy 122.667611 82.120216)
+        (xy 122.582044 82.217103)
+        (xy 122.582042 82.217105)
+        (xy 122.577377 82.222388)
+        (xy 122.516447 82.352163)
+        (xy 122.494391 82.493823)
+        (xy 110.95 82.493823)
+        (xy 110.95 81.493823)
+        (xy 118.494391 81.493823)
+        (xy 118.495306 81.50082)
+        (xy 118.495306 81.500821)
+        (xy 118.496814 81.512354)
+        (xy 118.51298 81.635979)
+        (xy 118.515821 81.642435)
+        (xy 118.515821 81.642436)
+        (xy 118.523586 81.660082)
+        (xy 118.57072 81.767203)
+        (xy 118.583792 81.782754)
+        (xy 118.658431 81.871549)
+        (xy 118.658434 81.871551)
+        (xy 118.66297 81.876948)
+        (xy 118.668841 81.880856)
+        (xy 118.668842 81.880857)
+        (xy 118.681143 81.889045)
+        (xy 118.782313 81.95639)
+        (xy 118.88292 81.987821)
+        (xy 118.912425 81.997039)
+        (xy 118.912426 81.997039)
+        (xy 118.919157 81.999142)
+        (xy 118.990828 82.000456)
+        (xy 119.055445 82.001641)
+        (xy 119.055447 82.001641)
+        (xy 119.062499 82.00177)
+        (xy 119.069302 81.999915)
+        (xy 119.069304 81.999915)
+        (xy 119.144503 81.979413)
+        (xy 119.200817 81.96406)
+        (xy 119.322991 81.889045)
+        (xy 119.330403 81.880857)
+        (xy 119.414468 81.787982)
+        (xy 119.4192 81.782754)
+        (xy 119.48171 81.653733)
+        (xy 119.485875 81.628982)
+        (xy 119.504862 81.516124)
+        (xy 119.504862 81.51612)
+        (xy 119.505496 81.512354)
+        (xy 119.505647 81.5)
+        (xy 119.505104 81.496207)
+        (xy 119.500622 81.464908)
+        (xy 119.511089 81.404624)
+        (xy 119.554992 81.362007)
+        (xy 119.615559 81.353334)
+        (xy 119.662022 81.37582)
+        (xy 119.66297 81.376948)
+        (xy 119.668841 81.380856)
+        (xy 119.668842 81.380857)
+        (xy 119.681143 81.389045)
+        (xy 119.782313 81.45639)
+        (xy 119.879809 81.486849)
+        (xy 119.912425 81.497039)
+        (xy 119.912426 81.497039)
+        (xy 119.919157 81.499142)
+        (xy 119.990828 81.500456)
+        (xy 120.055445 81.501641)
+        (xy 120.055447 81.501641)
+        (xy 120.062499 81.50177)
+        (xy 120.069302 81.499915)
+        (xy 120.069304 81.499915)
+        (xy 120.091649 81.493823)
+        (xy 125.494391 81.493823)
+        (xy 125.495306 81.50082)
+        (xy 125.495306 81.500821)
+        (xy 125.496814 81.512354)
+        (xy 125.51298 81.635979)
+        (xy 125.515821 81.642435)
+        (xy 125.515821 81.642436)
+        (xy 125.523586 81.660082)
+        (xy 125.57072 81.767203)
+        (xy 125.583792 81.782754)
+        (xy 125.658431 81.871549)
+        (xy 125.658434 81.871551)
+        (xy 125.66297 81.876948)
+        (xy 125.668841 81.880856)
+        (xy 125.668842 81.880857)
+        (xy 125.681143 81.889045)
+        (xy 125.782313 81.95639)
+        (xy 125.88292 81.987821)
+        (xy 125.912425 81.997039)
+        (xy 125.912426 81.997039)
+        (xy 125.919157 81.999142)
+        (xy 125.990828 82.000456)
+        (xy 126.055445 82.001641)
+        (xy 126.055447 82.001641)
+        (xy 126.062499 82.00177)
+        (xy 126.069302 81.999915)
+        (xy 126.069304 81.999915)
+        (xy 126.144503 81.979413)
+        (xy 126.200817 81.96406)
+        (xy 126.322991 81.889045)
+        (xy 126.330403 81.880857)
+        (xy 126.414468 81.787982)
+        (xy 126.4192 81.782754)
+        (xy 126.48171 81.653733)
+        (xy 126.485875 81.628982)
+        (xy 126.504862 81.516124)
+        (xy 126.504862 81.51612)
+        (xy 126.505496 81.512354)
+        (xy 126.505647 81.5)
+        (xy 126.505104 81.496207)
+        (xy 126.500622 81.464908)
+        (xy 126.511089 81.404624)
+        (xy 126.554992 81.362007)
+        (xy 126.615559 81.353334)
+        (xy 126.662022 81.37582)
+        (xy 126.66297 81.376948)
+        (xy 126.668841 81.380856)
+        (xy 126.668842 81.380857)
+        (xy 126.681143 81.389045)
+        (xy 126.782313 81.45639)
+        (xy 126.879809 81.486849)
+        (xy 126.912425 81.497039)
+        (xy 126.912426 81.497039)
+        (xy 126.919157 81.499142)
+        (xy 126.990828 81.500456)
+        (xy 127.055445 81.501641)
+        (xy 127.055447 81.501641)
+        (xy 127.062499 81.50177)
+        (xy 127.069302 81.499915)
+        (xy 127.069304 81.499915)
+        (xy 127.091649 81.493823)
+        (xy 132.494391 81.493823)
+        (xy 132.495306 81.50082)
+        (xy 132.495306 81.500821)
+        (xy 132.496814 81.512354)
+        (xy 132.51298 81.635979)
+        (xy 132.515821 81.642435)
+        (xy 132.515821 81.642436)
+        (xy 132.523586 81.660082)
+        (xy 132.57072 81.767203)
+        (xy 132.583792 81.782754)
+        (xy 132.658431 81.871549)
+        (xy 132.658434 81.871551)
+        (xy 132.66297 81.876948)
+        (xy 132.668841 81.880856)
+        (xy 132.668842 81.880857)
+        (xy 132.681143 81.889045)
+        (xy 132.782313 81.95639)
+        (xy 132.88292 81.987821)
+        (xy 132.912425 81.997039)
+        (xy 132.912426 81.997039)
+        (xy 132.919157 81.999142)
+        (xy 132.990828 82.000456)
+        (xy 133.055445 82.001641)
+        (xy 133.055447 82.001641)
+        (xy 133.062499 82.00177)
+        (xy 133.069302 81.999915)
+        (xy 133.069304 81.999915)
+        (xy 133.144503 81.979413)
+        (xy 133.200817 81.96406)
+        (xy 133.322991 81.889045)
+        (xy 133.330403 81.880857)
+        (xy 133.414468 81.787982)
+        (xy 133.4192 81.782754)
+        (xy 133.48171 81.653733)
+        (xy 133.485875 81.628982)
+        (xy 133.504862 81.516124)
+        (xy 133.504862 81.51612)
+        (xy 133.505496 81.512354)
+        (xy 133.505647 81.5)
+        (xy 133.485323 81.358082)
+        (xy 133.436986 81.25177)
+        (xy 133.428905 81.233996)
+        (xy 133.428904 81.233995)
+        (xy 133.425984 81.227572)
+        (xy 133.35639 81.146805)
+        (xy 133.337005 81.124307)
+        (xy 133.337004 81.124306)
+        (xy 133.3324 81.118963)
+        (xy 133.212095 81.040985)
+        (xy 133.074739 80.999907)
+        (xy 132.991497 80.999398)
+        (xy 132.938427 80.999074)
+        (xy 132.938426 80.999074)
+        (xy 132.931376 80.999031)
+        (xy 132.924599 81.000968)
+        (xy 132.924598 81.000968)
+        (xy 132.800309 81.03649)
+        (xy 132.800307 81.036491)
+        (xy 132.793529 81.038428)
+        (xy 132.67228 81.11493)
+        (xy 132.667613 81.120214)
+        (xy 132.667611 81.120216)
+        (xy 132.582044 81.217103)
+        (xy 132.582042 81.217105)
+        (xy 132.577377 81.222388)
+        (xy 132.516447 81.352163)
+        (xy 132.515362 81.359132)
+        (xy 132.515361 81.359135)
+        (xy 132.508279 81.404624)
+        (xy 132.494391 81.493823)
+        (xy 127.091649 81.493823)
+        (xy 127.144503 81.479413)
+        (xy 127.200817 81.46406)
+        (xy 127.322991 81.389045)
+        (xy 127.330403 81.380857)
+        (xy 127.414468 81.287982)
+        (xy 127.4192 81.282754)
+        (xy 127.48171 81.153733)
+        (xy 127.484182 81.139045)
+        (xy 127.504862 81.016124)
+        (xy 127.504862 81.01612)
+        (xy 127.505496 81.012354)
+        (xy 127.505647 81)
+        (xy 127.485323 80.858082)
+        (xy 127.444003 80.767203)
+        (xy 127.428905 80.733996)
+        (xy 127.428904 80.733995)
+        (xy 127.425984 80.727572)
+        (xy 127.3324 80.618963)
+        (xy 127.212095 80.540985)
+        (xy 127.074739 80.499907)
+        (xy 126.991497 80.499398)
+        (xy 126.938427 80.499074)
+        (xy 126.938426 80.499074)
+        (xy 126.931376 80.499031)
+        (xy 126.924599 80.500968)
+        (xy 126.924598 80.500968)
+        (xy 126.800309 80.53649)
+        (xy 126.800307 80.536491)
+        (xy 126.793529 80.538428)
+        (xy 126.67228 80.61493)
+        (xy 126.667613 80.620214)
+        (xy 126.667611 80.620216)
+        (xy 126.582044 80.717103)
+        (xy 126.582042 80.717105)
+        (xy 126.577377 80.722388)
+        (xy 126.574381 80.72877)
+        (xy 126.57438 80.728771)
+        (xy 126.562617 80.753826)
+        (xy 126.516447 80.852163)
+        (xy 126.515362 80.859132)
+        (xy 126.515361 80.859135)
+        (xy 126.507429 80.910082)
+        (xy 126.494391 80.993823)
+        (xy 126.495306 81.00082)
+        (xy 126.495306 81.000822)
+        (xy 126.49999 81.036643)
+        (xy 126.488787 81.096794)
+        (xy 126.444368 81.138872)
+        (xy 126.383699 81.146805)
+        (xy 126.336856 81.124134)
+        (xy 126.3324 81.118963)
+        (xy 126.212095 81.040985)
+        (xy 126.074739 80.999907)
+        (xy 125.991497 80.999398)
+        (xy 125.938427 80.999074)
+        (xy 125.938426 80.999074)
+        (xy 125.931376 80.999031)
+        (xy 125.924599 81.000968)
+        (xy 125.924598 81.000968)
+        (xy 125.800309 81.03649)
+        (xy 125.800307 81.036491)
+        (xy 125.793529 81.038428)
+        (xy 125.67228 81.11493)
+        (xy 125.667613 81.120214)
+        (xy 125.667611 81.120216)
+        (xy 125.582044 81.217103)
+        (xy 125.582042 81.217105)
+        (xy 125.577377 81.222388)
+        (xy 125.516447 81.352163)
+        (xy 125.515362 81.359132)
+        (xy 125.515361 81.359135)
+        (xy 125.508279 81.404624)
+        (xy 125.494391 81.493823)
+        (xy 120.091649 81.493823)
+        (xy 120.144503 81.479413)
+        (xy 120.200817 81.46406)
+        (xy 120.322991 81.389045)
+        (xy 120.330403 81.380857)
+        (xy 120.414468 81.287982)
+        (xy 120.4192 81.282754)
+        (xy 120.48171 81.153733)
+        (xy 120.484182 81.139045)
+        (xy 120.504862 81.016124)
+        (xy 120.504862 81.01612)
+        (xy 120.505496 81.012354)
+        (xy 120.505647 81)
+        (xy 120.485323 80.858082)
+        (xy 120.444003 80.767203)
+        (xy 120.428905 80.733996)
+        (xy 120.428904 80.733995)
+        (xy 120.425984 80.727572)
+        (xy 120.3324 80.618963)
+        (xy 120.212095 80.540985)
+        (xy 120.074739 80.499907)
+        (xy 119.991497 80.499398)
+        (xy 119.938427 80.499074)
+        (xy 119.938426 80.499074)
+        (xy 119.931376 80.499031)
+        (xy 119.924599 80.500968)
+        (xy 119.924598 80.500968)
+        (xy 119.87154 80.516132)
+        (xy 119.810394 80.513943)
+        (xy 119.762212 80.476231)
+        (xy 119.746335 80.434977)
+        (xy 119.739008 80.383814)
+        (xy 119.735323 80.358082)
+        (xy 119.694003 80.267203)
+        (xy 119.678905 80.233996)
+        (xy 119.678904 80.233995)
+        (xy 119.675984 80.227572)
+        (xy 119.61236 80.153733)
+        (xy 119.587005 80.124307)
+        (xy 119.587004 80.124306)
+        (xy 119.5824 80.118963)
+        (xy 119.462095 80.040985)
+        (xy 119.324739 79.999907)
+        (xy 119.241497 79.999398)
+        (xy 119.188427 79.999074)
+        (xy 119.188426 79.999074)
+        (xy 119.181376 79.999031)
+        (xy 119.174599 80.000968)
+        (xy 119.174598 80.000968)
+        (xy 119.050309 80.03649)
+        (xy 119.050307 80.036491)
+        (xy 119.043529 80.038428)
+        (xy 118.92228 80.11493)
+        (xy 118.917613 80.120214)
+        (xy 118.917611 80.120216)
+        (xy 118.832044 80.217103)
+        (xy 118.832042 80.217105)
+        (xy 118.827377 80.222388)
+        (xy 118.824381 80.22877)
+        (xy 118.82438 80.228771)
+        (xy 118.806336 80.267203)
+        (xy 118.766447 80.352163)
+        (xy 118.765362 80.359132)
+        (xy 118.765361 80.359135)
+        (xy 118.753553 80.434977)
+        (xy 118.744391 80.493823)
+        (xy 118.745306 80.50082)
+        (xy 118.745306 80.500821)
+        (xy 118.760253 80.615126)
+        (xy 118.76298 80.635979)
+        (xy 118.765821 80.642435)
+        (xy 118.765821 80.642436)
+        (xy 118.813512 80.750821)
+        (xy 118.82072 80.767203)
+        (xy 118.8735 80.829993)
+        (xy 118.900998 80.862706)
+        (xy 118.923968 80.919416)
+        (xy 118.909217 80.978797)
+        (xy 118.862381 81.018167)
+        (xy 118.852423 81.021596)
+        (xy 118.848903 81.022602)
+        (xy 118.800309 81.03649)
+        (xy 118.800307 81.036491)
+        (xy 118.793529 81.038428)
+        (xy 118.67228 81.11493)
+        (xy 118.667613 81.120214)
+        (xy 118.667611 81.120216)
+        (xy 118.582044 81.217103)
+        (xy 118.582042 81.217105)
+        (xy 118.577377 81.222388)
+        (xy 118.516447 81.352163)
+        (xy 118.515362 81.359132)
+        (xy 118.515361 81.359135)
+        (xy 118.508279 81.404624)
+        (xy 118.494391 81.493823)
+        (xy 110.95 81.493823)
+        (xy 110.95 80.743823)
+        (xy 111.994391 80.743823)
+        (xy 111.995306 80.75082)
+        (xy 111.995306 80.750821)
+        (xy 111.996604 80.760747)
+        (xy 112.01298 80.885979)
+        (xy 112.015821 80.892435)
+        (xy 112.015821 80.892436)
+        (xy 112.06311 80.999907)
+        (xy 112.07072 81.017203)
+        (xy 112.089012 81.038964)
+        (xy 112.158431 81.121549)
+        (xy 112.158434 81.121551)
+        (xy 112.16297 81.126948)
+        (xy 112.168841 81.130856)
+        (xy 112.168842 81.130857)
+        (xy 112.176537 81.135979)
+        (xy 112.282313 81.20639)
+        (xy 112.38292 81.237821)
+        (xy 112.412425 81.247039)
+        (xy 112.412426 81.247039)
+        (xy 112.419157 81.249142)
+        (xy 112.490828 81.250456)
+        (xy 112.555445 81.251641)
+        (xy 112.555447 81.251641)
+        (xy 112.562499 81.25177)
+        (xy 112.569302 81.249915)
+        (xy 112.569304 81.249915)
+        (xy 112.67027 81.222388)
+        (xy 112.700817 81.21406)
+        (xy 112.822991 81.139045)
+        (xy 112.830403 81.130857)
+        (xy 112.914468 81.037982)
+        (xy 112.9192 81.032754)
+        (xy 112.98171 80.903733)
+        (xy 112.985875 80.878982)
+        (xy 113.004862 80.766124)
+        (xy 113.004862 80.76612)
+        (xy 113.005496 80.762354)
+        (xy 113.005647 80.75)
+        (xy 112.986148 80.613843)
+        (xy 112.996615 80.553559)
+        (xy 113.040517 80.510941)
+        (xy 113.085962 80.500825)
+        (xy 113.100565 80.501093)
+        (xy 113.130446 80.501641)
+        (xy 113.130448 80.501641)
+        (xy 113.137499 80.50177)
+        (xy 113.144302 80.499915)
+        (xy 113.144304 80.499915)
+        (xy 113.225093 80.477889)
+        (xy 113.275817 80.46406)
+        (xy 113.397991 80.389045)
+        (xy 113.4942 80.282754)
+        (xy 113.55671 80.153733)
+        (xy 113.559634 80.136358)
+        (xy 113.579862 80.016124)
+        (xy 113.579862 80.01612)
+        (xy 113.580496 80.012354)
+        (xy 113.580647 80)
+        (xy 113.560323 79.858082)
+        (xy 113.511986 79.75177)
+        (xy 113.503905 79.733996)
+        (xy 113.503904 79.733995)
+        (xy 113.500984 79.727572)
+        (xy 113.417649 79.630857)
+        (xy 113.412005 79.624307)
+        (xy 113.412004 79.624306)
+        (xy 113.4074 79.618963)
+        (xy 113.287095 79.540985)
+        (xy 113.149739 79.499907)
+        (xy 113.066497 79.499398)
+        (xy 113.013427 79.499074)
+        (xy 113.013426 79.499074)
+        (xy 113.006376 79.499031)
+        (xy 112.999599 79.500968)
+        (xy 112.999598 79.500968)
+        (xy 112.875309 79.53649)
+        (xy 112.875307 79.536491)
+        (xy 112.868529 79.538428)
+        (xy 112.74728 79.61493)
+        (xy 112.742613 79.620214)
+        (xy 112.742611 79.620216)
+        (xy 112.657044 79.717103)
+        (xy 112.657042 79.717105)
+        (xy 112.652377 79.722388)
+        (xy 112.591447 79.852163)
+        (xy 112.569391 79.993823)
+        (xy 112.570306 80.00082)
+        (xy 112.570306 80.000821)
+        (xy 112.58798 80.135979)
+        (xy 112.585085 80.136358)
+        (xy 112.583036 80.184923)
+        (xy 112.545018 80.232863)
+        (xy 112.48965 80.249387)
+        (xy 112.438427 80.249074)
+        (xy 112.438426 80.249074)
+        (xy 112.431376 80.249031)
+        (xy 112.424599 80.250968)
+        (xy 112.424598 80.250968)
+        (xy 112.300309 80.28649)
+        (xy 112.300307 80.286491)
+        (xy 112.293529 80.288428)
+        (xy 112.17228 80.36493)
+        (xy 112.167613 80.370214)
+        (xy 112.167611 80.370216)
+        (xy 112.082044 80.467103)
+        (xy 112.082042 80.467105)
+        (xy 112.077377 80.472388)
+        (xy 112.074381 80.47877)
+        (xy 112.07438 80.478771)
+        (xy 112.063643 80.501641)
+        (xy 112.016447 80.602163)
+        (xy 112.015362 80.609132)
+        (xy 112.015361 80.609135)
+        (xy 112.00068 80.70343)
+        (xy 111.994391 80.743823)
+        (xy 110.95 80.743823)
+        (xy 110.95 79.243823)
+        (xy 121.244391 79.243823)
+        (xy 121.245306 79.25082)
+        (xy 121.245306 79.250821)
+        (xy 121.246814 79.262354)
+        (xy 121.26298 79.385979)
+        (xy 121.265821 79.392435)
+        (xy 121.265821 79.392436)
+        (xy 121.31311 79.499907)
+        (xy 121.32072 79.517203)
+        (xy 121.339012 79.538964)
+        (xy 121.408431 79.621549)
+        (xy 121.408434 79.621551)
+        (xy 121.41297 79.626948)
+        (xy 121.418841 79.630856)
+        (xy 121.418842 79.630857)
+        (xy 121.431143 79.639045)
+        (xy 121.532313 79.70639)
+        (xy 121.63292 79.737821)
+        (xy 121.662425 79.747039)
+        (xy 121.662426 79.747039)
+        (xy 121.669157 79.749142)
+        (xy 121.740828 79.750456)
+        (xy 121.805445 79.751641)
+        (xy 121.805447 79.751641)
+        (xy 121.812499 79.75177)
+        (xy 121.819302 79.749915)
+        (xy 121.819304 79.749915)
+        (xy 121.92027 79.722388)
+        (xy 121.950817 79.71406)
+        (xy 122.072991 79.639045)
+        (xy 122.080403 79.630857)
+        (xy 122.164468 79.537982)
+        (xy 122.1692 79.532754)
+        (xy 122.23171 79.403733)
+        (xy 122.235875 79.378982)
+        (xy 122.254862 79.266124)
+        (xy 122.254862 79.26612)
+        (xy 122.255496 79.262354)
+        (xy 122.255647 79.25)
+        (xy 122.235323 79.108082)
+        (xy 122.175984 78.977572)
+        (xy 122.0824 78.868963)
+        (xy 121.962095 78.790985)
+        (xy 121.824739 78.749907)
+        (xy 121.741497 78.749398)
+        (xy 121.688427 78.749074)
+        (xy 121.688426 78.749074)
+        (xy 121.681376 78.749031)
+        (xy 121.674599 78.750968)
+        (xy 121.674598 78.750968)
+        (xy 121.550309 78.78649)
+        (xy 121.550307 78.786491)
+        (xy 121.543529 78.788428)
+        (xy 121.42228 78.86493)
+        (xy 121.417613 78.870214)
+        (xy 121.417611 78.870216)
+        (xy 121.332044 78.967103)
+        (xy 121.332042 78.967105)
+        (xy 121.327377 78.972388)
+        (xy 121.266447 79.102163)
+        (xy 121.244391 79.243823)
+        (xy 110.95 79.243823)
+        (xy 110.95 76.743823)
+        (xy 112.519391 76.743823)
+        (xy 112.520306 76.75082)
+        (xy 112.520306 76.750821)
+        (xy 112.535253 76.865126)
+        (xy 112.53798 76.885979)
+        (xy 112.540821 76.892435)
+        (xy 112.540821 76.892436)
+        (xy 112.58811 76.999907)
+        (xy 112.59572 77.017203)
+        (xy 112.641845 77.072076)
+        (xy 112.683431 77.121549)
+        (xy 112.683434 77.121551)
+        (xy 112.68797 77.126948)
+        (xy 112.693841 77.130856)
+        (xy 112.693842 77.130857)
+        (xy 112.706143 77.139045)
+        (xy 112.807313 77.20639)
+        (xy 112.904809 77.236849)
+        (xy 112.937425 77.247039)
+        (xy 112.937426 77.247039)
+        (xy 112.944157 77.249142)
+        (xy 113.015828 77.250456)
+        (xy 113.080445 77.251641)
+        (xy 113.080447 77.251641)
+        (xy 113.087499 77.25177)
+        (xy 113.094302 77.249915)
+        (xy 113.094304 77.249915)
+        (xy 113.169503 77.229413)
+        (xy 113.225817 77.21406)
+        (xy 113.347991 77.139045)
+        (xy 113.355403 77.130857)
+        (xy 113.439468 77.037982)
+        (xy 113.4442 77.032754)
+        (xy 113.50671 76.903733)
+        (xy 113.510875 76.878982)
+        (xy 113.529862 76.766124)
+        (xy 113.529862 76.76612)
+        (xy 113.530496 76.762354)
+        (xy 113.530647 76.75)
+        (xy 113.529762 76.743823)
+        (xy 119.519391 76.743823)
+        (xy 119.520306 76.75082)
+        (xy 119.520306 76.750821)
+        (xy 119.535253 76.865126)
+        (xy 119.53798 76.885979)
+        (xy 119.540821 76.892435)
+        (xy 119.540821 76.892436)
+        (xy 119.58811 76.999907)
+        (xy 119.59572 77.017203)
+        (xy 119.641845 77.072076)
+        (xy 119.683431 77.121549)
+        (xy 119.683434 77.121551)
+        (xy 119.68797 77.126948)
+        (xy 119.693841 77.130856)
+        (xy 119.693842 77.130857)
+        (xy 119.706143 77.139045)
+        (xy 119.807313 77.20639)
+        (xy 119.904809 77.236849)
+        (xy 119.937425 77.247039)
+        (xy 119.937426 77.247039)
+        (xy 119.944157 77.249142)
+        (xy 120.015828 77.250456)
+        (xy 120.080445 77.251641)
+        (xy 120.080447 77.251641)
+        (xy 120.087499 77.25177)
+        (xy 120.094302 77.249915)
+        (xy 120.094304 77.249915)
+        (xy 120.169503 77.229413)
+        (xy 120.225817 77.21406)
+        (xy 120.347991 77.139045)
+        (xy 120.355403 77.130857)
+        (xy 120.439468 77.037982)
+        (xy 120.4442 77.032754)
+        (xy 120.50671 76.903733)
+        (xy 120.510875 76.878982)
+        (xy 120.529862 76.766124)
+        (xy 120.529862 76.76612)
+        (xy 120.530496 76.762354)
+        (xy 120.530647 76.75)
+        (xy 120.529762 76.743823)
+        (xy 126.519391 76.743823)
+        (xy 126.520306 76.75082)
+        (xy 126.520306 76.750821)
+        (xy 126.535253 76.865126)
+        (xy 126.53798 76.885979)
+        (xy 126.540821 76.892435)
+        (xy 126.540821 76.892436)
+        (xy 126.58811 76.999907)
+        (xy 126.59572 77.017203)
+        (xy 126.641845 77.072076)
+        (xy 126.683431 77.121549)
+        (xy 126.683434 77.121551)
+        (xy 126.68797 77.126948)
+        (xy 126.693841 77.130856)
+        (xy 126.693842 77.130857)
+        (xy 126.706143 77.139045)
+        (xy 126.807313 77.20639)
+        (xy 126.904809 77.236849)
+        (xy 126.937425 77.247039)
+        (xy 126.937426 77.247039)
+        (xy 126.944157 77.249142)
+        (xy 127.015828 77.250456)
+        (xy 127.080445 77.251641)
+        (xy 127.080447 77.251641)
+        (xy 127.087499 77.25177)
+        (xy 127.094302 77.249915)
+        (xy 127.094304 77.249915)
+        (xy 127.116649 77.243823)
+        (xy 130.244391 77.243823)
+        (xy 130.245306 77.25082)
+        (xy 130.245306 77.250821)
+        (xy 130.246814 77.262354)
+        (xy 130.26298 77.385979)
+        (xy 130.265821 77.392435)
+        (xy 130.265821 77.392436)
+        (xy 130.273586 77.410082)
+        (xy 130.32072 77.517203)
+        (xy 130.333792 77.532754)
+        (xy 130.408431 77.621549)
+        (xy 130.408434 77.621551)
+        (xy 130.41297 77.626948)
+        (xy 130.418841 77.630856)
+        (xy 130.418842 77.630857)
+        (xy 130.431143 77.639045)
+        (xy 130.532313 77.70639)
+        (xy 130.63292 77.737821)
+        (xy 130.662425 77.747039)
+        (xy 130.662426 77.747039)
+        (xy 130.669157 77.749142)
+        (xy 130.740828 77.750456)
+        (xy 130.805445 77.751641)
+        (xy 130.805447 77.751641)
+        (xy 130.812499 77.75177)
+        (xy 130.819302 77.749915)
+        (xy 130.819304 77.749915)
+        (xy 130.950817 77.71406)
+        (xy 130.951381 77.716128)
+        (xy 131.002237 77.711321)
+        (xy 131.054902 77.742468)
+        (xy 131.064687 77.75562)
+        (xy 131.067881 77.760751)
+        (xy 131.07072 77.767203)
+        (xy 131.075257 77.7726)
+        (xy 131.158431 77.871549)
+        (xy 131.158434 77.871551)
+        (xy 131.16297 77.876948)
+        (xy 131.168841 77.880856)
+        (xy 131.168842 77.880857)
+        (xy 131.181143 77.889045)
+        (xy 131.282313 77.95639)
+        (xy 131.38292 77.987821)
+        (xy 131.412425 77.997039)
+        (xy 131.412426 77.997039)
+        (xy 131.419157 77.999142)
+        (xy 131.490828 78.000456)
+        (xy 131.555445 78.001641)
+        (xy 131.555447 78.001641)
+        (xy 131.562499 78.00177)
+        (xy 131.569302 77.999915)
+        (xy 131.569304 77.999915)
+        (xy 131.644503 77.979413)
+        (xy 131.700817 77.96406)
+        (xy 131.822991 77.889045)
+        (xy 131.830403 77.880857)
+        (xy 131.914468 77.787982)
+        (xy 131.9192 77.782754)
+        (xy 131.926735 77.767203)
+        (xy 131.929011 77.762505)
+        (xy 131.971398 77.71838)
+        (xy 132.031626 77.707598)
+        (xy 132.047624 77.711173)
+        (xy 132.070692 77.71838)
+        (xy 132.162425 77.747039)
+        (xy 132.162426 77.747039)
+        (xy 132.169157 77.749142)
+        (xy 132.240828 77.750456)
+        (xy 132.305445 77.751641)
+        (xy 132.305447 77.751641)
+        (xy 132.312499 77.75177)
+        (xy 132.319302 77.749915)
+        (xy 132.319304 77.749915)
+        (xy 132.394503 77.729413)
+        (xy 132.450817 77.71406)
+        (xy 132.572991 77.639045)
+        (xy 132.580403 77.630857)
+        (xy 132.664468 77.537982)
+        (xy 132.6692 77.532754)
+        (xy 132.73171 77.403733)
+        (xy 132.735875 77.378982)
+        (xy 132.754862 77.266124)
+        (xy 132.754862 77.26612)
+        (xy 132.755496 77.262354)
+        (xy 132.755647 77.25)
+        (xy 132.735323 77.108082)
+        (xy 132.691068 77.010747)
+        (xy 132.678905 76.983996)
+        (xy 132.678904 76.983995)
+        (xy 132.675984 76.977572)
+        (xy 132.61236 76.903733)
+        (xy 132.587005 76.874307)
+        (xy 132.587004 76.874306)
+        (xy 132.5824 76.868963)
+        (xy 132.462095 76.790985)
+        (xy 132.324739 76.749907)
+        (xy 132.241497 76.749398)
+        (xy 132.188427 76.749074)
+        (xy 132.188426 76.749074)
+        (xy 132.181376 76.749031)
+        (xy 132.174599 76.750968)
+        (xy 132.174598 76.750968)
+        (xy 132.050309 76.78649)
+        (xy 132.050307 76.786491)
+        (xy 132.043529 76.788428)
+        (xy 131.92228 76.86493)
+        (xy 131.917613 76.870214)
+        (xy 131.917611 76.870216)
+        (xy 131.882403 76.910082)
+        (xy 131.827377 76.972388)
+        (xy 131.824378 76.978775)
+        (xy 131.824377 76.978777)
+        (xy 131.821193 76.985557)
+        (xy 131.779346 77.030194)
+        (xy 131.719254 77.04171)
+        (xy 131.703214 77.038329)
+        (xy 131.5815 77.001929)
+        (xy 131.574739 76.999907)
+        (xy 131.491497 76.999398)
+        (xy 131.438427 76.999074)
+        (xy 131.438426 76.999074)
+        (xy 131.431376 76.999031)
+        (xy 131.424599 77.000968)
+        (xy 131.424598 77.000968)
+        (xy 131.296009 77.037719)
+        (xy 131.234863 77.03553)
+        (xy 131.186681 76.997818)
+        (xy 131.17931 76.984629)
+        (xy 131.178904 76.983994)
+        (xy 131.175984 76.977572)
+        (xy 131.11236 76.903733)
+        (xy 131.087005 76.874307)
+        (xy 131.087004 76.874306)
+        (xy 131.0824 76.868963)
+        (xy 130.962095 76.790985)
+        (xy 130.824739 76.749907)
+        (xy 130.741497 76.749398)
+        (xy 130.688427 76.749074)
+        (xy 130.688426 76.749074)
+        (xy 130.681376 76.749031)
+        (xy 130.674599 76.750968)
+        (xy 130.674598 76.750968)
+        (xy 130.550309 76.78649)
+        (xy 130.550307 76.786491)
+        (xy 130.543529 76.788428)
+        (xy 130.42228 76.86493)
+        (xy 130.417613 76.870214)
+        (xy 130.417611 76.870216)
+        (xy 130.332044 76.967103)
+        (xy 130.332042 76.967105)
+        (xy 130.327377 76.972388)
+        (xy 130.324381 76.97877)
+        (xy 130.32438 76.978771)
+        (xy 130.313507 77.001929)
+        (xy 130.266447 77.102163)
+        (xy 130.265362 77.109132)
+        (xy 130.265361 77.109135)
+        (xy 130.250828 77.202482)
+        (xy 130.244391 77.243823)
+        (xy 127.116649 77.243823)
+        (xy 127.169503 77.229413)
+        (xy 127.225817 77.21406)
+        (xy 127.347991 77.139045)
+        (xy 127.355403 77.130857)
+        (xy 127.439468 77.037982)
+        (xy 127.4442 77.032754)
+        (xy 127.50671 76.903733)
+        (xy 127.510875 76.878982)
+        (xy 127.529862 76.766124)
+        (xy 127.529862 76.76612)
+        (xy 127.530496 76.762354)
+        (xy 127.530647 76.75)
+        (xy 127.510323 76.608082)
+        (xy 127.460856 76.499284)
+        (xy 127.453905 76.483996)
+        (xy 127.453904 76.483995)
+        (xy 127.450984 76.477572)
+        (xy 127.3574 76.368963)
+        (xy 127.237095 76.290985)
+        (xy 127.099739 76.249907)
+        (xy 127.016497 76.249398)
+        (xy 126.963427 76.249074)
+        (xy 126.963426 76.249074)
+        (xy 126.956376 76.249031)
+        (xy 126.949599 76.250968)
+        (xy 126.949598 76.250968)
+        (xy 126.825309 76.28649)
+        (xy 126.825307 76.286491)
+        (xy 126.818529 76.288428)
+        (xy 126.69728 76.36493)
+        (xy 126.692613 76.370214)
+        (xy 126.692611 76.370216)
+        (xy 126.607044 76.467103)
+        (xy 126.607042 76.467105)
+        (xy 126.602377 76.472388)
+        (xy 126.541447 76.602163)
+        (xy 126.519391 76.743823)
+        (xy 120.529762 76.743823)
+        (xy 120.510323 76.608082)
+        (xy 120.460856 76.499284)
+        (xy 120.453905 76.483996)
+        (xy 120.453904 76.483995)
+        (xy 120.450984 76.477572)
+        (xy 120.3574 76.368963)
+        (xy 120.237095 76.290985)
+        (xy 120.099739 76.249907)
+        (xy 120.016497 76.249398)
+        (xy 119.963427 76.249074)
+        (xy 119.963426 76.249074)
+        (xy 119.956376 76.249031)
+        (xy 119.949599 76.250968)
+        (xy 119.949598 76.250968)
+        (xy 119.825309 76.28649)
+        (xy 119.825307 76.286491)
+        (xy 119.818529 76.288428)
+        (xy 119.69728 76.36493)
+        (xy 119.692613 76.370214)
+        (xy 119.692611 76.370216)
+        (xy 119.607044 76.467103)
+        (xy 119.607042 76.467105)
+        (xy 119.602377 76.472388)
+        (xy 119.541447 76.602163)
+        (xy 119.519391 76.743823)
+        (xy 113.529762 76.743823)
+        (xy 113.510323 76.608082)
+        (xy 113.460856 76.499284)
+        (xy 113.453905 76.483996)
+        (xy 113.453904 76.483995)
+        (xy 113.450984 76.477572)
+        (xy 113.3574 76.368963)
+        (xy 113.237095 76.290985)
+        (xy 113.099739 76.249907)
+        (xy 113.016497 76.249398)
+        (xy 112.963427 76.249074)
+        (xy 112.963426 76.249074)
+        (xy 112.956376 76.249031)
+        (xy 112.949599 76.250968)
+        (xy 112.949598 76.250968)
+        (xy 112.825309 76.28649)
+        (xy 112.825307 76.286491)
+        (xy 112.818529 76.288428)
+        (xy 112.69728 76.36493)
+        (xy 112.692613 76.370214)
+        (xy 112.692611 76.370216)
+        (xy 112.607044 76.467103)
+        (xy 112.607042 76.467105)
+        (xy 112.602377 76.472388)
+        (xy 112.541447 76.602163)
+        (xy 112.519391 76.743823)
+        (xy 110.95 76.743823)
+        (xy 110.95 76.53396)
+        (xy 110.952518 76.511773)
+        (xy 110.952562 76.511583)
+        (xy 110.955143 76.500358)
+        (xy 110.952682 76.489484)
+        (xy 110.952702 76.478335)
+        (xy 110.953716 76.478337)
+        (xy 110.953105 76.468476)
+        (xy 110.964416 76.353632)
+        (xy 110.968202 76.334598)
+        (xy 111.008066 76.203183)
+        (xy 111.015493 76.185252)
+        (xy 111.046078 76.128033)
+        (xy 111.080228 76.064143)
+        (xy 111.091008 76.048009)
+        (xy 111.168546 75.953527)
+        (xy 111.178131 75.941848)
+        (xy 111.191848 75.928131)
+        (xy 111.298009 75.841008)
+        (xy 111.314144 75.830227)
+        (xy 111.384771 75.792476)
+        (xy 111.435255 75.765492)
+        (xy 111.453183 75.758066)
+        (xy 111.518891 75.738134)
+        (xy 111.5846 75.718202)
+        (xy 111.60363 75.714416)
+        (xy 111.718499 75.703103)
+        (xy 111.728371 75.702626)
+        (xy 111.738775 75.702644)
+        (xy 111.749642 75.705143)
+        (xy 111.761583 75.702441)
+        (xy 111.783432 75.7)
+        (xy 132.96604 75.7)
+      )
+    )
+  )
+)
diff --git a/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_pro b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_pro
new file mode 100644
index 0000000..acd6473
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_pro
@@ -0,0 +1,443 @@
+{
+  "board": {
+    "design_settings": {
+      "defaults": {
+        "board_outline_line_width": 0.09999999999999999,
+        "copper_line_width": 0.19999999999999998,
+        "copper_text_italic": false,
+        "copper_text_size_h": 1.5,
+        "copper_text_size_v": 1.5,
+        "copper_text_thickness": 0.3,
+        "copper_text_upright": false,
+        "courtyard_line_width": 0.049999999999999996,
+        "dimension_precision": 4,
+        "dimension_units": 3,
+        "dimensions": {
+          "arrow_length": 1270000,
+          "extension_offset": 500000,
+          "keep_text_aligned": true,
+          "suppress_zeroes": false,
+          "text_position": 0,
+          "units_format": 1
+        },
+        "fab_line_width": 0.09999999999999999,
+        "fab_text_italic": false,
+        "fab_text_size_h": 1.0,
+        "fab_text_size_v": 1.0,
+        "fab_text_thickness": 0.15,
+        "fab_text_upright": false,
+        "other_line_width": 0.15,
+        "other_text_italic": false,
+        "other_text_size_h": 1.0,
+        "other_text_size_v": 1.0,
+        "other_text_thickness": 0.15,
+        "other_text_upright": false,
+        "pads": {
+          "drill": 0.0,
+          "height": 0.4,
+          "width": 1.2
+        },
+        "silk_line_width": 0.15,
+        "silk_text_italic": false,
+        "silk_text_size_h": 1.0,
+        "silk_text_size_v": 1.0,
+        "silk_text_thickness": 0.15,
+        "silk_text_upright": false,
+        "zones": {
+          "45_degree_only": false,
+          "min_clearance": 0.19999999999999998
+        }
+      },
+      "diff_pair_dimensions": [
+        {
+          "gap": 0.0,
+          "via_gap": 0.0,
+          "width": 0.0
+        }
+      ],
+      "drc_exclusions": [],
+      "meta": {
+        "version": 2
+      },
+      "rule_severities": {
+        "annular_width": "error",
+        "clearance": "error",
+        "copper_edge_clearance": "error",
+        "courtyards_overlap": "error",
+        "diff_pair_gap_out_of_range": "error",
+        "diff_pair_uncoupled_length_too_long": "error",
+        "drill_out_of_range": "error",
+        "duplicate_footprints": "warning",
+        "extra_footprint": "warning",
+        "footprint_type_mismatch": "error",
+        "hole_clearance": "error",
+        "hole_near_hole": "error",
+        "invalid_outline": "error",
+        "item_on_disabled_layer": "error",
+        "items_not_allowed": "error",
+        "length_out_of_range": "error",
+        "malformed_courtyard": "error",
+        "microvia_drill_out_of_range": "error",
+        "missing_courtyard": "ignore",
+        "missing_footprint": "warning",
+        "net_conflict": "warning",
+        "npth_inside_courtyard": "ignore",
+        "padstack": "error",
+        "pth_inside_courtyard": "ignore",
+        "shorting_items": "error",
+        "silk_over_copper": "warning",
+        "silk_overlap": "warning",
+        "skew_out_of_range": "error",
+        "through_hole_pad_without_hole": "error",
+        "too_many_vias": "error",
+        "track_dangling": "warning",
+        "track_width": "error",
+        "tracks_crossing": "error",
+        "unconnected_items": "error",
+        "unresolved_variable": "error",
+        "via_dangling": "warning",
+        "zone_has_empty_net": "error",
+        "zones_intersect": "error"
+      },
+      "rules": {
+        "allow_blind_buried_vias": false,
+        "allow_microvias": false,
+        "max_error": 0.005,
+        "min_clearance": 0.0,
+        "min_copper_edge_clearance": 0.0,
+        "min_hole_clearance": 0.25,
+        "min_hole_to_hole": 0.25,
+        "min_microvia_diameter": 0.19999999999999998,
+        "min_microvia_drill": 0.09999999999999999,
+        "min_silk_clearance": 0.0,
+        "min_through_hole_diameter": 0.3,
+        "min_track_width": 0.19999999999999998,
+        "min_via_annular_width": 0.049999999999999996,
+        "min_via_diameter": 0.39999999999999997,
+        "solder_mask_clearance": 0.0,
+        "solder_mask_min_width": 0.0,
+        "use_height_for_length_calcs": true
+      },
+      "track_widths": [
+        0.0,
+        0.15,
+        0.2,
+        0.3,
+        0.5,
+        0.75,
+        1.0
+      ],
+      "via_dimensions": [
+        {
+          "diameter": 0.0,
+          "drill": 0.0
+        },
+        {
+          "diameter": 0.6,
+          "drill": 0.3
+        }
+      ],
+      "zones_allow_external_fillets": false,
+      "zones_use_no_outline": true
+    },
+    "layer_presets": []
+  },
+  "boards": [],
+  "cvpcb": {
+    "equivalence_files": []
+  },
+  "erc": {
+    "erc_exclusions": [],
+    "meta": {
+      "version": 0
+    },
+    "pin_map": [
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        2,
+        0,
+        1,
+        0,
+        0,
+        1,
+        0,
+        2,
+        2,
+        2,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        1,
+        0,
+        1,
+        0,
+        1,
+        2
+      ],
+      [
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        1,
+        1,
+        2,
+        1,
+        1,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        1,
+        1,
+        1,
+        1,
+        1,
+        0,
+        1,
+        1,
+        1,
+        1,
+        1,
+        2
+      ],
+      [
+        0,
+        0,
+        0,
+        1,
+        0,
+        0,
+        1,
+        0,
+        0,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        2,
+        1,
+        2,
+        0,
+        0,
+        1,
+        0,
+        2,
+        2,
+        2,
+        2
+      ],
+      [
+        0,
+        2,
+        0,
+        1,
+        0,
+        0,
+        1,
+        0,
+        2,
+        0,
+        0,
+        2
+      ],
+      [
+        0,
+        2,
+        1,
+        1,
+        0,
+        0,
+        1,
+        0,
+        2,
+        0,
+        0,
+        2
+      ],
+      [
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2,
+        2
+      ]
+    ],
+    "rule_severities": {
+      "bus_definition_conflict": "error",
+      "bus_entry_needed": "error",
+      "bus_label_syntax": "error",
+      "bus_to_bus_conflict": "error",
+      "bus_to_net_conflict": "error",
+      "different_unit_footprint": "error",
+      "different_unit_net": "error",
+      "duplicate_reference": "error",
+      "duplicate_sheet_names": "error",
+      "extra_units": "error",
+      "global_label_dangling": "warning",
+      "hier_label_mismatch": "error",
+      "label_dangling": "error",
+      "lib_symbol_issues": "warning",
+      "multiple_net_names": "warning",
+      "net_not_bus_member": "warning",
+      "no_connect_connected": "warning",
+      "no_connect_dangling": "warning",
+      "pin_not_connected": "error",
+      "pin_not_driven": "error",
+      "pin_to_pin": "warning",
+      "power_pin_not_driven": "error",
+      "similar_labels": "warning",
+      "unannotated": "error",
+      "unit_value_mismatch": "error",
+      "unresolved_variable": "error",
+      "wire_dangling": "error"
+    }
+  },
+  "libraries": {
+    "pinned_footprint_libs": [],
+    "pinned_symbol_libs": []
+  },
+  "meta": {
+    "filename": "icE1usb-rs422.kicad_pro",
+    "version": 1
+  },
+  "net_settings": {
+    "classes": [
+      {
+        "bus_width": 12.0,
+        "clearance": 0.15,
+        "diff_pair_gap": 0.25,
+        "diff_pair_via_gap": 0.25,
+        "diff_pair_width": 0.2,
+        "line_style": 0,
+        "microvia_diameter": 0.3,
+        "microvia_drill": 0.1,
+        "name": "Default",
+        "pcb_color": "rgba(0, 0, 0, 0.000)",
+        "schematic_color": "rgba(0, 0, 0, 0.000)",
+        "track_width": 0.15,
+        "via_diameter": 0.6,
+        "via_drill": 0.3,
+        "wire_width": 6.0
+      }
+    ],
+    "meta": {
+      "version": 2
+    },
+    "net_colors": null
+  },
+  "pcbnew": {
+    "last_paths": {
+      "gencad": "",
+      "idf": "",
+      "netlist": "",
+      "specctra_dsn": "",
+      "step": "",
+      "vrml": ""
+    },
+    "page_layout_descr_file": ""
+  },
+  "schematic": {
+    "annotate_start_num": 0,
+    "drawing": {
+      "default_line_thickness": 6.0,
+      "default_text_size": 50.0,
+      "field_names": [],
+      "intersheets_ref_own_page": false,
+      "intersheets_ref_prefix": "",
+      "intersheets_ref_short": false,
+      "intersheets_ref_show": false,
+      "intersheets_ref_suffix": "",
+      "junction_size_choice": 3,
+      "label_size_ratio": 0.375,
+      "pin_symbol_size": 25.0,
+      "text_offset_ratio": 0.15
+    },
+    "legacy_lib_dir": "",
+    "legacy_lib_list": [],
+    "meta": {
+      "version": 1
+    },
+    "net_format_name": "",
+    "ngspice": {
+      "fix_include_paths": true,
+      "fix_passive_vals": false,
+      "meta": {
+        "version": 0
+      },
+      "model_mode": 0,
+      "workbook_filename": ""
+    },
+    "page_layout_descr_file": "",
+    "plot_directory": "./",
+    "spice_adjust_passive_values": false,
+    "spice_external_command": "spice \"%I\"",
+    "subpart_first_id": 65,
+    "subpart_id_separator": 0
+  },
+  "sheets": [
+    [
+      "e63e39d7-6ac0-4ffd-8aa3-1841a4541b55",
+      ""
+    ]
+  ],
+  "text_variables": {}
+}
diff --git a/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_sch b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_sch
new file mode 100644
index 0000000..5b75ccd
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_sch
@@ -0,0 +1,5389 @@
+(kicad_sch (version 20211123) (generator eeschema)
+
+  (uuid e63e39d7-6ac0-4ffd-8aa3-1841a4541b55)
+
+  (paper "A3")
+
+  (title_block
+    (title "icE1usb RS422 extension")
+    (date "2022-08-15")
+    (rev "0.1")
+  )
+
+  (lib_symbols
+    (symbol "74xGxx:74LVC2G125" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -2.54 3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "74LVC2G125" (id 1) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "Dual Buff Tri-State LVC CMOS" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Dual Buffer Tri-State, Low-Voltage CMOS" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "VSSOP*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "74LVC2G125_0_1"
+        (polyline
+          (pts
+            (xy -3.81 2.54)
+            (xy -3.81 -2.54)
+            (xy 2.54 0)
+            (xy -3.81 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (pin power_in line (at 1.27 -1.27 270) (length 0) hide
+          (name "GND" (effects (font (size 1.016 1.016))))
+          (number "4" (effects (font (size 1.016 1.016))))
+        )
+        (pin power_in line (at 1.27 1.27 90) (length 0) hide
+          (name "VCC" (effects (font (size 1.016 1.016))))
+          (number "8" (effects (font (size 1.016 1.016))))
+        )
+      )
+      (symbol "74LVC2G125_1_1"
+        (pin input inverted (at 0 5.08 270) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "1" (effects (font (size 1.016 1.016))))
+        )
+        (pin input line (at -7.62 0 0) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "2" (effects (font (size 1.016 1.016))))
+        )
+        (pin tri_state line (at 6.35 0 180) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "6" (effects (font (size 1.016 1.016))))
+        )
+      )
+      (symbol "74LVC2G125_2_1"
+        (pin tri_state line (at 6.35 0 180) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "3" (effects (font (size 1.016 1.016))))
+        )
+        (pin input line (at -7.62 0 0) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "5" (effects (font (size 1.016 1.016))))
+        )
+        (pin input inverted (at 0 5.08 270) (length 3.81)
+          (name "~" (effects (font (size 1.016 1.016))))
+          (number "7" (effects (font (size 1.016 1.016))))
+        )
+      )
+    )
+    (symbol "Connector_Generic:Conn_02x04_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "J" (id 0) (at 1.27 5.08 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "Conn_02x04_Odd_Even" (id 1) (at 1.27 -7.62 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "connector" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Generic connector, double row, 02x04, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Conn_02x04_Odd_Even_1_1"
+        (rectangle (start -1.27 -4.953) (end 0 -5.207)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 -2.413) (end 0 -2.667)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 0.127) (end 0 -0.127)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 2.667) (end 0 2.413)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start -1.27 3.81) (end 3.81 -6.35)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (rectangle (start 3.81 -4.953) (end 2.54 -5.207)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 3.81 -2.413) (end 2.54 -2.667)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 3.81 0.127) (end 2.54 -0.127)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 3.81 2.667) (end 2.54 2.413)
+          (stroke (width 0.1524) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (pin passive line (at -5.08 2.54 0) (length 3.81)
+          (name "Pin_1" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 2.54 180) (length 3.81)
+          (name "Pin_2" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at -5.08 0 0) (length 3.81)
+          (name "Pin_3" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 0 180) (length 3.81)
+          (name "Pin_4" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at -5.08 -2.54 0) (length 3.81)
+          (name "Pin_5" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 -2.54 180) (length 3.81)
+          (name "Pin_6" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at -5.08 -5.08 0) (length 3.81)
+          (name "Pin_7" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 7.62 -5.08 180) (length 3.81)
+          (name "Pin_8" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:C_Polarized_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "C_Polarized_Small" (id 1) (at 0.254 -2.032 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Polarized capacitor, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "CP_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "C_Polarized_Small_0_1"
+        (rectangle (start -1.524 -0.3048) (end 1.524 -0.6858)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (rectangle (start -1.524 0.6858) (end 1.524 0.3048)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 1.524)
+            (xy -0.762 1.524)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.016 1.27)
+            (xy -1.016 1.778)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "C_Polarized_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 1.8542)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 1.8542)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "C" (id 0) (at 0.254 1.778 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "C_Small" (id 1) (at 0.254 -2.032 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "C_Small_0_1"
+        (polyline
+          (pts
+            (xy -1.524 -0.508)
+            (xy 1.524 -0.508)
+          )
+          (stroke (width 0.3302) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.524 0.508)
+            (xy 1.524 0.508)
+          )
+          (stroke (width 0.3048) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "C_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 2.032)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 2.032)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:Polyfuse_Small" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "F" (id 0) (at -1.905 0 90)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "Polyfuse_Small" (id 1) (at 1.905 0 90)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 1.27 -5.08 0)
+        (effects (font (size 1.27 1.27)) (justify left) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "resettable fuse PTC PPTC polyfuse polyswitch" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Resettable fuse, polymeric positive temperature coefficient, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "*polyfuse* *PTC*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Polyfuse_Small_0_1"
+        (rectangle (start -0.508 1.27) (end 0.508 -1.27)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0 -2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.016 1.27)
+            (xy -1.016 0.762)
+            (xy 1.016 -0.762)
+            (xy 1.016 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "Polyfuse_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 0.635)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 0.635)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
+      (property "Reference" "R" (id 0) (at 0.762 0.508 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "R_Small" (id 1) (at 0.762 -1.016 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "~" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "R resistor" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "R_Small_0_1"
+        (rectangle (start -0.762 1.778) (end 0.762 -1.778)
+          (stroke (width 0.2032) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "R_Small_1_1"
+        (pin passive line (at 0 2.54 270) (length 0.762)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin passive line (at 0 -2.54 90) (length 0.762)
+          (name "~" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Interface_Expansion:TCA9534" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.35 12.7 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "TCA9534" (id 1) (at 2.54 12.7 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 24.13 -13.97 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tca9534.pdf" (id 3) (at 2.54 -2.54 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "SMBUS I2C Expander" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "8 Bit Port/Expander, I2C SMBUS, Interrupt output, TSSOP-16, SOIC-16" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "TSSOP*4.4x5mm*P0.65mm* SOIC*7.5x10.3mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "TCA9534_0_1"
+        (rectangle (start -6.35 -13.97) (end 6.35 11.43)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+      )
+      (symbol "TCA9534_1_1"
+        (pin input line (at -10.16 -5.08 0) (length 3.81)
+          (name "A0" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -5.08 180) (length 3.81)
+          (name "P5" (effects (font (size 1.27 1.27))))
+          (number "10" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -7.62 180) (length 3.81)
+          (name "P6" (effects (font (size 1.27 1.27))))
+          (number "11" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -10.16 180) (length 3.81)
+          (name "P7" (effects (font (size 1.27 1.27))))
+          (number "12" (effects (font (size 1.27 1.27))))
+        )
+        (pin open_collector line (at -10.16 2.54 0) (length 3.81)
+          (name "~{INT}" (effects (font (size 1.27 1.27))))
+          (number "13" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 7.62 0) (length 3.81)
+          (name "SCL" (effects (font (size 1.27 1.27))))
+          (number "14" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at -10.16 5.08 0) (length 3.81)
+          (name "SDA" (effects (font (size 1.27 1.27))))
+          (number "15" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 15.24 270) (length 3.81)
+          (name "VDD" (effects (font (size 1.27 1.27))))
+          (number "16" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -7.62 0) (length 3.81)
+          (name "A1" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -10.16 0) (length 3.81)
+          (name "A2" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 7.62 180) (length 3.81)
+          (name "P0" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 5.08 180) (length 3.81)
+          (name "P1" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 2.54 180) (length 3.81)
+          (name "P2" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 0 180) (length 3.81)
+          (name "P3" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -17.78 90) (length 3.81)
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -2.54 180) (length 3.81)
+          (name "P4" (effects (font (size 1.27 1.27))))
+          (number "9" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Memory_EEPROM:M24C02-FMN" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.35 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "M24C02-FMN" (id 1) (at 7.62 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 8.89 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/b0/d8/50/40/5a/85/49/6f/DM00071904.pdf/files/DM00071904.pdf/jcr:content/translations/en.DM00071904.pdf" (id 3) (at 1.27 -12.7 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "Nonvolatile Non-Volatile Memory ROM ST" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "2Kb (256x8) I2C Serial EEPROM, 1.6-5.5V, SOIC-8" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "M24C02-FMN_0_1"
+        (rectangle (start -7.62 5.08) (end 7.62 -5.08)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+      )
+      (symbol "M24C02-FMN_1_1"
+        (pin input line (at -10.16 2.54 0) (length 2.54)
+          (name "E0" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 0 0) (length 2.54)
+          (name "E1" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -2.54 0) (length 2.54)
+          (name "E2" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -7.62 90) (length 2.54)
+          (name "VSS" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
+          (name "SDA" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 0 180) (length 2.54)
+          (name "SCL" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 -2.54 180) (length 2.54)
+          (name "~{WC}" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 7.62 270) (length 2.54)
+          (name "VCC" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "Regulator_Linear:MC78M05_TO252" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -3.81 3.175 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "MC78M05_TO252" (id 1) (at 0 3.175 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "Package_TO_SOT_SMD:TO-252-2" (id 2) (at 0 5.715 0)
+        (effects (font (size 1.27 1.27) italic) hide)
+      )
+      (property "Datasheet" "https://www.onsemi.com/pub/Collateral/MC78M00-D.PDF" (id 3) (at 0 -1.27 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "Voltage Regulator 500mA Positive" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Positive 500mA 35V Linear Regulator, Fixed Output 5V, TO-252 (D-PAK)" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "TO?252*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "MC78M05_TO252_0_1"
+        (rectangle (start -5.08 1.905) (end 5.08 -5.08)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+      )
+      (symbol "MC78M05_TO252_1_1"
+        (pin power_in line (at -7.62 0 0) (length 2.54)
+          (name "VI" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -7.62 90) (length 2.54)
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_out line (at 7.62 0 180) (length 2.54)
+          (name "VO" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "icE1usb-rs422:SP485" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.096 11.43 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "SP485" (id 1) (at 0.762 11.43 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "" (id 2) (at 0 -17.78 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 0 1.27 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "rs485 rs422" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Low Power Half-Duplex RS-485 Transceivers" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "SP485_0_1"
+        (rectangle (start -7.62 10.16) (end 7.62 -12.7)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (circle (center -0.3048 -3.683) (radius 0.3556)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (circle (center -0.0254 1.4986) (radius 0.3556)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (polyline
+          (pts
+            (xy -4.064 -5.08)
+            (xy -1.905 -5.08)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -4.064 2.54)
+            (xy -1.27 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 -3.2004)
+            (xy -1.27 -3.4544)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -0.635 -5.08)
+            (xy 5.334 -5.08)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -4.064 -2.54)
+            (xy -1.27 -2.54)
+            (xy -1.27 -3.175)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 1.27)
+            (xy 0 0)
+            (xy -4.064 0)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 3.175)
+            (xy 3.81 3.175)
+            (xy 3.81 -5.08)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 2.54 1.905)
+            (xy 2.54 -3.81)
+            (xy 0 -3.81)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.905 -3.175)
+            (xy -1.905 -5.715)
+            (xy 0.635 -4.445)
+            (xy -1.905 -3.175)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 2.54)
+            (xy 1.27 3.81)
+            (xy 1.27 1.27)
+            (xy -1.27 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 1.905)
+            (xy 4.445 1.905)
+            (xy 4.445 2.54)
+            (xy 5.334 2.54)
+          )
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (rectangle (start 1.27 3.175) (end 1.27 3.175)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (circle (center 1.651 1.905) (radius 0.3556)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+      )
+      (symbol "SP485_1_1"
+        (pin output line (at -10.16 2.54 0) (length 2.54)
+          (name "RO" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 0 0) (length 2.54)
+          (name "~{RE}" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -2.54 0) (length 2.54)
+          (name "DE" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 -5.08 0) (length 2.54)
+          (name "DI" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 -15.24 90) (length 2.54)
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -5.08 180) (length 2.54)
+          (name "A" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
+          (name "B" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 0 12.7 270) (length 2.54)
+          (name "VCC" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "icE1usb-rs422:Si8400" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at -6.35 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Value" "Si8400" (id 1) (at 3.81 6.35 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 -8.89 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/Si840x.pdf" (id 3) (at 0 1.27 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "digital isolator i2c" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "I2C Isolator, 2.5 kVrms, Bidirectional clock and data, SOIC-8" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Si8400_0_1"
+        (rectangle (start -7.62 5.08) (end 7.62 -7.62)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (rectangle (start 0 -5.08) (end 0 -6.35)
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 -2.54)
+            (xy 0 -3.81)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 5.08)
+            (xy 0 3.81)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -0.635 0.635)
+            (xy -1.27 0)
+            (xy -0.635 -0.635)
+            (xy -0.635 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (polyline
+          (pts
+            (xy 0.635 -1.905)
+            (xy 1.27 -2.54)
+            (xy 0.635 -3.175)
+            (xy 0.635 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (polyline
+          (pts
+            (xy 0.635 0.635)
+            (xy 1.27 0)
+            (xy 0.635 -0.635)
+            (xy 0.635 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+      )
+      (symbol "Si8400_1_1"
+        (polyline
+          (pts
+            (xy -0.635 -1.905)
+            (xy -1.27 -2.54)
+            (xy -0.635 -3.175)
+            (xy -0.635 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type outline))
+        )
+        (pin power_in line (at -10.16 2.54 0) (length 2.54)
+          (name "VCC1" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at -10.16 0 0) (length 2.54)
+          (name "SDA1" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at -10.16 -2.54 0) (length 2.54)
+          (name "SCL1" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at -10.16 -5.08 0) (length 2.54)
+          (name "GND1" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 10.16 -5.08 180) (length 2.54)
+          (name "GND2" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 -2.54 180) (length 2.54)
+          (name "SCL2" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin bidirectional line (at 10.16 0 180) (length 2.54)
+          (name "SDA2" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 10.16 2.54 180) (length 2.54)
+          (name "VCC2" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "icE1usb-rs422:Si8663" (in_bom yes) (on_board yes)
+      (property "Reference" "U" (id 0) (at 8.255 14.605 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Value" "Si8663" (id 1) (at 8.255 12.065 0)
+        (effects (font (size 1.27 1.27)) (justify left))
+      )
+      (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (id 2) (at 5.715 -13.97 0)
+        (effects (font (size 1.27 1.27)) (justify left) hide)
+      )
+      (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/si866x.pdf" (id 3) (at 10.16 -2.54 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "6 channel digital isolator" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Low Power Six-Channel Digital Isolator" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_fp_filters" "SSOP*3.9x4.9mm*P0.635mm*" (id 6) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "Si8663_0_1"
+        (rectangle (start -7.62 15.24) (end 7.62 -12.7)
+          (stroke (width 0.254) (type default) (color 0 0 0 0))
+          (fill (type background))
+        )
+        (polyline
+          (pts
+            (xy -0.635 15.24)
+            (xy -0.635 -12.7)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0.635 15.24)
+            (xy 0.635 -12.7)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.905 8.255)
+            (xy -1.27 7.62)
+            (xy -1.905 6.985)
+            (xy -1.905 8.255)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 8.255)
+            (xy 1.905 7.62)
+            (xy 1.27 6.985)
+            (xy 1.27 8.255)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "Si8663_1_1"
+        (polyline
+          (pts
+            (xy -1.905 3.175)
+            (xy -1.27 2.54)
+            (xy -1.905 1.905)
+            (xy -1.905 3.175)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.905 5.715)
+            (xy -1.27 5.08)
+            (xy -1.905 4.445)
+            (xy -1.905 5.715)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 -4.445)
+            (xy -1.905 -5.08)
+            (xy -1.27 -5.715)
+            (xy -1.27 -4.445)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 -1.905)
+            (xy -1.905 -2.54)
+            (xy -1.27 -3.175)
+            (xy -1.27 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy -1.27 0.635)
+            (xy -1.905 0)
+            (xy -1.27 -0.635)
+            (xy -1.27 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 3.175)
+            (xy 1.905 2.54)
+            (xy 1.27 1.905)
+            (xy 1.27 3.175)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.27 5.715)
+            (xy 1.905 5.08)
+            (xy 1.27 4.445)
+            (xy 1.27 5.715)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 -4.445)
+            (xy 1.27 -5.08)
+            (xy 1.905 -5.715)
+            (xy 1.905 -4.445)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 -1.905)
+            (xy 1.27 -2.54)
+            (xy 1.905 -3.175)
+            (xy 1.905 -1.905)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 1.905 0.635)
+            (xy 1.27 0)
+            (xy 1.905 -0.635)
+            (xy 1.905 0.635)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (pin power_in line (at -5.08 17.78 270) (length 2.54)
+          (name "Vcc1" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 -5.08 180) (length 2.54)
+          (name "INF" (effects (font (size 1.27 1.27))))
+          (number "10" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 -2.54 180) (length 2.54)
+          (name "INE" (effects (font (size 1.27 1.27))))
+          (number "11" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at 10.16 0 180) (length 2.54)
+          (name "IND" (effects (font (size 1.27 1.27))))
+          (number "12" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at 10.16 2.54 180) (length 2.54)
+          (name "OUTC" (effects (font (size 1.27 1.27))))
+          (number "13" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at 10.16 5.08 180) (length 2.54)
+          (name "OUTB" (effects (font (size 1.27 1.27))))
+          (number "14" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at 10.16 7.62 180) (length 2.54)
+          (name "OUTA" (effects (font (size 1.27 1.27))))
+          (number "15" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 5.08 17.78 270) (length 2.54)
+          (name "Vcc2" (effects (font (size 1.27 1.27))))
+          (number "16" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 7.62 0) (length 2.54)
+          (name "INA" (effects (font (size 1.27 1.27))))
+          (number "2" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 5.08 0) (length 2.54)
+          (name "INB" (effects (font (size 1.27 1.27))))
+          (number "3" (effects (font (size 1.27 1.27))))
+        )
+        (pin input line (at -10.16 2.54 0) (length 2.54)
+          (name "INC" (effects (font (size 1.27 1.27))))
+          (number "4" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at -10.16 0 0) (length 2.54)
+          (name "OUTD" (effects (font (size 1.27 1.27))))
+          (number "5" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at -10.16 -2.54 0) (length 2.54)
+          (name "OUTE" (effects (font (size 1.27 1.27))))
+          (number "6" (effects (font (size 1.27 1.27))))
+        )
+        (pin output line (at -10.16 -5.08 0) (length 2.54)
+          (name "OUTF" (effects (font (size 1.27 1.27))))
+          (number "7" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at -5.08 -15.24 90) (length 2.54)
+          (name "GND1" (effects (font (size 1.27 1.27))))
+          (number "8" (effects (font (size 1.27 1.27))))
+        )
+        (pin power_in line (at 5.08 -15.24 90) (length 2.54)
+          (name "GND2" (effects (font (size 1.27 1.27))))
+          (number "9" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:+12VA" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "+12VA" (id 1) (at 0 3.556 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"+12VA\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "+12VA_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "+12VA_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "+12VA" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "+3V3" (id 1) (at 0 3.556 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "+3V3_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "+3V3_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "+3V3" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:+5VA" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "+5VA" (id 1) (at 0 3.556 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"+5VA\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "+5VA_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "+5VA_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "+5VA" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "GND" (id 1) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "GND_0_1"
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 -1.27)
+            (xy 1.27 -1.27)
+            (xy 0 -2.54)
+            (xy -1.27 -1.27)
+            (xy 0 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "GND_1_1"
+        (pin power_in line (at 0 0 270) (length 0) hide
+          (name "GND" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:GNDA" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "GNDA" (id 1) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"GNDA\" , analog ground" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "GNDA_0_1"
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 -1.27)
+            (xy 1.27 -1.27)
+            (xy 0 -2.54)
+            (xy -1.27 -1.27)
+            (xy 0 -1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "GNDA_1_1"
+        (pin power_in line (at 0 0 270) (length 0) hide
+          (name "GNDA" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+    (symbol "power:VCC" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
+      (property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Value" "VCC" (id 1) (at 0 3.81 0)
+        (effects (font (size 1.27 1.27)))
+      )
+      (property "Footprint" "" (id 2) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "Datasheet" "" (id 3) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (property "ki_description" "Power symbol creates a global label with name \"VCC\"" (id 5) (at 0 0 0)
+        (effects (font (size 1.27 1.27)) hide)
+      )
+      (symbol "VCC_0_1"
+        (polyline
+          (pts
+            (xy -0.762 1.27)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 0)
+            (xy 0 2.54)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+        (polyline
+          (pts
+            (xy 0 2.54)
+            (xy 0.762 1.27)
+          )
+          (stroke (width 0) (type default) (color 0 0 0 0))
+          (fill (type none))
+        )
+      )
+      (symbol "VCC_1_1"
+        (pin power_in line (at 0 0 90) (length 0) hide
+          (name "VCC" (effects (font (size 1.27 1.27))))
+          (number "1" (effects (font (size 1.27 1.27))))
+        )
+      )
+    )
+  )
+
+  (junction (at 83.82 172.72) (diameter 0) (color 0 0 0 0)
+    (uuid 033d635b-cfcf-4280-a110-17a619ed6661)
+  )
+  (junction (at 287.02 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid 04aa7956-3bb1-42a0-a257-80cd3414743d)
+  )
+  (junction (at 299.72 163.83) (diameter 0) (color 0 0 0 0)
+    (uuid 07f312df-6e09-44d4-b325-64d795ebf31d)
+  )
+  (junction (at 307.34 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid 0d304acb-721d-4509-bd6e-0a7cac276d73)
+  )
+  (junction (at 116.84 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 0d89af96-9a36-4b0c-b1ae-a996e26a65d3)
+  )
+  (junction (at 40.64 160.02) (diameter 0) (color 0 0 0 0)
+    (uuid 12a2a03e-9fe2-4aad-87e8-d9f0251e53fc)
+  )
+  (junction (at 116.84 170.18) (diameter 0) (color 0 0 0 0)
+    (uuid 150a8b2b-a2b8-48e5-bd61-935a3e844c2a)
+  )
+  (junction (at 73.66 134.62) (diameter 0) (color 0 0 0 0)
+    (uuid 2074bbd4-cf9a-44bf-a98e-ff619e47307f)
+  )
+  (junction (at 119.38 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 23491fd3-8824-49f8-a550-fb5a20c9ddb1)
+  )
+  (junction (at 147.32 93.98) (diameter 0) (color 0 0 0 0)
+    (uuid 26ec8156-e41f-40c1-9e87-b99878e3b75d)
+  )
+  (junction (at 205.74 157.48) (diameter 0) (color 0 0 0 0)
+    (uuid 34012e88-e075-4276-aa73-b51fd02e9a13)
+  )
+  (junction (at 299.72 171.45) (diameter 0) (color 0 0 0 0)
+    (uuid 3a6027e5-ae61-4ab8-a72e-dd911bf1c98a)
+  )
+  (junction (at 172.72 170.18) (diameter 0) (color 0 0 0 0)
+    (uuid 3deb4a78-e0ee-48ad-9a47-4afab0860724)
+  )
+  (junction (at 200.66 180.34) (diameter 0) (color 0 0 0 0)
+    (uuid 43974152-d4fe-4ae9-9503-f0cf50f5dcf9)
+  )
+  (junction (at 109.22 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 4a874d94-adc1-404a-be2c-18d2eb6b6b4f)
+  )
+  (junction (at 299.72 74.93) (diameter 0) (color 0 0 0 0)
+    (uuid 4fc973f9-6c07-407f-bc4c-40329f06e4ca)
+  )
+  (junction (at 203.2 162.56) (diameter 0) (color 0 0 0 0)
+    (uuid 5e0b077d-99a7-447e-bb20-77cc40538a35)
+  )
+  (junction (at 83.82 68.58) (diameter 0) (color 0 0 0 0)
+    (uuid 666db899-e3e3-41fb-82a5-e5ceee01a42e)
+  )
+  (junction (at 203.2 160.02) (diameter 0) (color 0 0 0 0)
+    (uuid 67e43de7-1f1b-40dc-af5e-b186638d3a8c)
+  )
+  (junction (at 200.66 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid 68e4fa55-d849-4553-ae80-887a8c24bb8a)
+  )
+  (junction (at 109.22 167.64) (diameter 0) (color 0 0 0 0)
+    (uuid 70bacdb9-96b8-49e3-99bc-a8dddfd9364c)
+  )
+  (junction (at 40.64 157.48) (diameter 0) (color 0 0 0 0)
+    (uuid 86183659-3b16-402d-9b7a-25a261795719)
+  )
+  (junction (at 266.7 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid 880cadfb-ca12-4155-a6d9-46b3d2647d8f)
+  )
+  (junction (at 299.72 118.11) (diameter 0) (color 0 0 0 0)
+    (uuid 8acf80b0-ba53-43b8-9b14-0abed205c980)
+  )
+  (junction (at 119.38 157.48) (diameter 0) (color 0 0 0 0)
+    (uuid 9020a0ea-2bc3-43d4-87eb-56b8729f1fa9)
+  )
+  (junction (at 203.2 180.34) (diameter 0) (color 0 0 0 0)
+    (uuid 950b2cb3-cab7-4c50-8c32-8e5f83953a9f)
+  )
+  (junction (at 71.12 132.08) (diameter 0) (color 0 0 0 0)
+    (uuid 9617e266-58f5-4af5-bd36-89934827c1c7)
+  )
+  (junction (at 114.3 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid b12385dc-5651-4042-ab1d-e8ba60b7ebec)
+  )
+  (junction (at 121.92 154.94) (diameter 0) (color 0 0 0 0)
+    (uuid b22e1dc1-2c64-418f-9808-f5e137f089ca)
+  )
+  (junction (at 299.72 67.31) (diameter 0) (color 0 0 0 0)
+    (uuid b562a15d-7d69-4954-b76e-2a17e4ab1212)
+  )
+  (junction (at 200.66 167.64) (diameter 0) (color 0 0 0 0)
+    (uuid b7eace78-931b-4286-89fb-a030f6be500b)
+  )
+  (junction (at 154.94 53.34) (diameter 0) (color 0 0 0 0)
+    (uuid b841862a-c28a-43ce-b298-1937287f4bc8)
+  )
+  (junction (at 111.76 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid b9b0ba26-6892-4e9d-bb39-a85ef8c4bdd2)
+  )
+  (junction (at 317.5 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid baf29609-b179-4013-85f5-2e81482cc450)
+  )
+  (junction (at 198.12 165.1) (diameter 0) (color 0 0 0 0)
+    (uuid cbac0594-63ce-4765-92da-f4c3084f180f)
+  )
+  (junction (at 154.94 73.66) (diameter 0) (color 0 0 0 0)
+    (uuid d08eef33-fa10-400e-9338-397a40cad3d5)
+  )
+  (junction (at 111.76 165.1) (diameter 0) (color 0 0 0 0)
+    (uuid d1ac1847-88a8-43e5-966f-14592c6f1dce)
+  )
+  (junction (at 81.28 132.08) (diameter 0) (color 0 0 0 0)
+    (uuid d2404de0-0d7d-4031-a792-578f894da232)
+  )
+  (junction (at 299.72 125.73) (diameter 0) (color 0 0 0 0)
+    (uuid d84aedac-90ee-46b0-bd69-72caca73df20)
+  )
+  (junction (at 203.2 144.78) (diameter 0) (color 0 0 0 0)
+    (uuid da8b33c3-8445-4300-8c49-e8a29ea3340e)
+  )
+  (junction (at 205.74 154.94) (diameter 0) (color 0 0 0 0)
+    (uuid df4a79d9-0b4f-45e2-b33f-e8d3f8228eb0)
+  )
+  (junction (at 114.3 172.72) (diameter 0) (color 0 0 0 0)
+    (uuid e07da3ae-5621-4db3-94c2-d99632e4b0b2)
+  )
+  (junction (at 276.86 218.44) (diameter 0) (color 0 0 0 0)
+    (uuid f0ac63fe-7483-4ab3-8425-5a4029abbc87)
+  )
+  (junction (at 83.82 134.62) (diameter 0) (color 0 0 0 0)
+    (uuid f74b9382-e68c-4ae4-8f5a-1eb7f94a94c1)
+  )
+  (junction (at 172.72 172.72) (diameter 0) (color 0 0 0 0)
+    (uuid fa4ed3f0-ab09-44f0-a5cb-4bd08de17de3)
+  )
+
+  (no_connect (at 86.36 160.02) (uuid 5a87845d-adaf-476c-bb93-f13ba369be65))
+  (no_connect (at 175.26 160.02) (uuid 5a87845d-adaf-476c-bb93-f13ba369be66))
+  (no_connect (at 68.58 76.2) (uuid cd3b8863-24a3-4de1-a349-ad9789c10618))
+  (no_connect (at 106.68 162.56) (uuid cd3b8863-24a3-4de1-a349-ad9789c10619))
+  (no_connect (at 106.68 160.02) (uuid cd3b8863-24a3-4de1-a349-ad9789c1061a))
+  (no_connect (at 195.58 170.18) (uuid cd3b8863-24a3-4de1-a349-ad9789c1061b))
+  (no_connect (at 195.58 172.72) (uuid cd3b8863-24a3-4de1-a349-ad9789c1061c))
+
+  (wire (pts (xy 73.66 157.48) (xy 73.66 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0019b268-5761-4278-8967-7d2a119ea6a2)
+  )
+  (wire (pts (xy 200.66 152.4) (xy 200.66 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0126c82d-c055-4361-97d9-0dcb80484535)
+  )
+  (wire (pts (xy 297.18 163.83) (xy 299.72 163.83))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 01f312ed-3377-4bc9-a66b-8ae49869ce76)
+  )
+  (wire (pts (xy 160.02 58.42) (xy 172.72 58.42))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 02ab1503-a9cb-4608-937c-4b03c9b62ffb)
+  )
+  (wire (pts (xy 198.12 76.2) (xy 200.66 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 03cd0772-b32f-452d-bbea-5568701c75c8)
+  )
+  (wire (pts (xy 195.58 83.82) (xy 195.58 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 04b03474-6ff2-4a86-bf23-25facf545676)
+  )
+  (wire (pts (xy 266.7 218.44) (xy 266.7 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 06381dc8-cfd3-4aeb-b8c1-29e514c40236)
+  )
+  (wire (pts (xy 78.74 218.44) (xy 78.74 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0686b2bb-99bf-418f-8e08-11d72e656be1)
+  )
+  (wire (pts (xy 266.7 168.91) (xy 276.86 168.91))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 06ff741f-5530-44c0-931c-572344a5c3d2)
+  )
+  (wire (pts (xy 83.82 76.2) (xy 83.82 78.74))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 09342f7b-565e-41dc-b612-e79a13046ed9)
+  )
+  (wire (pts (xy 193.04 63.5) (xy 193.04 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0c35f379-51fb-490c-b231-984ff5529f84)
+  )
+  (wire (pts (xy 297.18 67.31) (xy 299.72 67.31))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0cfaadbb-34a8-4124-b3a7-8fe1fddd40d6)
+  )
+  (wire (pts (xy 307.34 226.06) (xy 307.34 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0eac97fa-1245-4ae6-a7ea-2566a0d4f843)
+  )
+  (wire (pts (xy 347.98 124.46) (xy 353.06 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0ee01a56-325d-4204-a2d7-3f9669e6ac39)
+  )
+  (wire (pts (xy 299.72 124.46) (xy 299.72 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 0ff43aa2-cd7e-4e93-9eae-995fc8a09671)
+  )
+  (wire (pts (xy 332.74 121.92) (xy 342.9 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 107617d3-0500-4822-b33a-a3b5c3e74774)
+  )
+  (wire (pts (xy 88.9 226.06) (xy 88.9 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 108b1d45-c355-4cf7-833c-3a7216618003)
+  )
+  (wire (pts (xy 373.38 124.46) (xy 381 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 119a62f3-7be0-45aa-8184-b7489e74e4fa)
+  )
+  (wire (pts (xy 114.3 172.72) (xy 134.62 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 12333a58-f39a-4ae4-b3d1-b108ca29f80d)
+  )
+  (wire (pts (xy 299.72 73.66) (xy 299.72 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1358e870-6525-495b-b1f3-96943cb792bf)
+  )
+  (wire (pts (xy 350.52 129.54) (xy 353.06 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 14d703dd-179b-41af-8997-1457e9639fa7)
+  )
+  (wire (pts (xy 116.84 152.4) (xy 116.84 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1535a28b-3ee1-4988-a66e-0241b7537fa2)
+  )
+  (wire (pts (xy 81.28 73.66) (xy 88.9 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 184a88db-b3ee-4f40-8897-61388825d767)
+  )
+  (wire (pts (xy 276.86 218.44) (xy 287.02 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1965c5ce-f08a-46d7-aefc-8e606a4b5c8e)
+  )
+  (wire (pts (xy 83.82 134.62) (xy 83.82 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1cf74baf-495c-4f9f-96b5-1c32daf5469a)
+  )
+  (wire (pts (xy 88.9 218.44) (xy 88.9 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1e1d50e9-606e-4506-b35b-529406befa32)
+  )
+  (wire (pts (xy 172.72 134.62) (xy 162.56 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 1f78f1e0-bddf-4f25-bd76-644b12b412bd)
+  )
+  (wire (pts (xy 162.56 132.08) (xy 170.18 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 20020cb8-6931-42ca-a04e-5de0128a5453)
+  )
+  (wire (pts (xy 307.34 218.44) (xy 307.34 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2058c5a3-d427-42ef-bc69-88039730ac3a)
+  )
+  (wire (pts (xy 119.38 226.06) (xy 119.38 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 21aed6e7-70d5-49fc-a9e9-d265a98133ef)
+  )
+  (wire (pts (xy 266.7 72.39) (xy 276.86 72.39))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 222955bc-0868-40e0-9793-facd125b0096)
+  )
+  (wire (pts (xy 200.66 180.34) (xy 200.66 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 243a0a7c-36c4-43db-af41-7483b63de691)
+  )
+  (wire (pts (xy 287.02 181.61) (xy 287.02 184.15))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 249bd074-740a-4fc9-bf55-b19037657b63)
+  )
+  (wire (pts (xy 205.74 157.48) (xy 215.9 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 24d576e8-b4a4-4655-98ba-a3fc19cba83b)
+  )
+  (wire (pts (xy 119.38 144.78) (xy 121.92 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 257f64fa-d9c6-4a6f-94d9-4cf78bfde22a)
+  )
+  (wire (pts (xy 215.9 86.36) (xy 215.9 88.9))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 275b8b28-b5d6-4486-a545-274a0deef044)
+  )
+  (wire (pts (xy 287.02 85.09) (xy 287.02 87.63))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2782e95a-bc3f-4d27-adc5-0602fe7ac8a9)
+  )
+  (wire (pts (xy 162.56 137.16) (xy 165.1 137.16))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 29ba8cf3-fd33-4afe-8b59-20f7847d00cc)
+  )
+  (wire (pts (xy 297.18 226.06) (xy 297.18 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 29f59706-3f6e-4d18-b4d1-fa27eb7ee7e1)
+  )
+  (wire (pts (xy 119.38 144.78) (xy 119.38 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2a1c7ac4-a0c8-498e-9a38-5992850bdd34)
+  )
+  (wire (pts (xy 266.7 123.19) (xy 276.86 123.19))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2ac99319-5d1b-46ff-8781-38fd446c320b)
+  )
+  (wire (pts (xy 266.7 69.85) (xy 276.86 69.85))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2c79a206-9bdf-45a7-9421-77b9e115689c)
+  )
+  (wire (pts (xy 40.64 157.48) (xy 40.64 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2da42330-aa05-4298-94d1-23f149b4cfaa)
+  )
+  (wire (pts (xy 81.28 132.08) (xy 142.24 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2e14bb30-01b5-4051-9c7d-4e94488eedc7)
+  )
+  (wire (pts (xy 365.76 127) (xy 368.3 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2e8eade1-5b00-4db1-82f0-8489c7058e7b)
+  )
+  (wire (pts (xy 111.76 165.1) (xy 134.62 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 2f3aad8d-3613-4a20-99ef-799b442e3793)
+  )
+  (wire (pts (xy 172.72 172.72) (xy 172.72 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 309de43a-bdbd-49f5-807b-e92a4006acd1)
+  )
+  (wire (pts (xy 68.58 218.44) (xy 68.58 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3150dc38-2c4a-4c01-af7d-ec73f120a6f9)
+  )
+  (wire (pts (xy 154.94 83.82) (xy 163.83 83.82))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 31c4e413-bffa-4bae-a3b2-b1f8dc7888c7)
+  )
+  (wire (pts (xy 307.34 218.44) (xy 317.5 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 31db5e25-4e3a-40f4-b398-0a870da0075d)
+  )
+  (wire (pts (xy 347.98 121.92) (xy 353.06 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 31e4db19-1130-4019-9b4f-9a7b2e2a5bff)
+  )
+  (wire (pts (xy 373.38 127) (xy 381 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3300ddf2-ef48-4b41-801a-9737c7b7668a)
+  )
+  (wire (pts (xy 81.28 71.12) (xy 88.9 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 338e2ec7-752a-4b48-804c-632c3b8175eb)
+  )
+  (wire (pts (xy 337.82 215.9) (xy 337.82 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 354659cc-030b-47f5-b215-b8eafed439bd)
+  )
+  (wire (pts (xy 299.72 67.31) (xy 312.42 67.31))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3601ceff-244e-4a98-8ec3-d225897036b4)
+  )
+  (wire (pts (xy 388.62 226.06) (xy 388.62 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 361487f4-c9a6-43fc-bfba-f0dea1a735b3)
+  )
+  (wire (pts (xy 198.12 165.1) (xy 215.9 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 36c12f81-fc50-4a8d-b1a8-99fd46bb00d6)
+  )
+  (wire (pts (xy 81.28 68.58) (xy 83.82 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 37625fd4-30e1-4fb8-a007-d6221562b962)
+  )
+  (wire (pts (xy 114.3 144.78) (xy 114.3 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 38724de0-66fb-4977-8881-9ed72d773165)
+  )
+  (wire (pts (xy 71.12 132.08) (xy 81.28 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 38a7ee5f-455a-418f-9186-2df8c28fda7b)
+  )
+  (wire (pts (xy 185.42 142.24) (xy 185.42 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3a27b571-8da0-4225-9380-cce3880b153b)
+  )
+  (wire (pts (xy 160.02 48.26) (xy 172.72 48.26))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3afc2af1-4722-49d3-828c-224423c9af56)
+  )
+  (wire (pts (xy 172.72 154.94) (xy 172.72 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3b1c4430-ee39-442b-a43f-8d185ab40f9f)
+  )
+  (wire (pts (xy 109.22 144.78) (xy 111.76 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3ca4ce2c-a62d-435e-84c8-e1535e734711)
+  )
+  (wire (pts (xy 83.82 170.18) (xy 86.36 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3dc3e596-3a09-47d8-9bbc-a5745876a267)
+  )
+  (wire (pts (xy 116.84 144.78) (xy 119.38 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3e2844d9-e5d5-4974-89c3-09a823bbe6d9)
+  )
+  (wire (pts (xy 205.74 177.8) (xy 205.74 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3f97728b-ff73-40a1-8c0f-ccd195330cf5)
+  )
+  (wire (pts (xy 195.58 162.56) (xy 203.2 162.56))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 3fbfdd0a-011c-47a2-8f43-1f4eb66bcd3b)
+  )
+  (wire (pts (xy 170.18 132.08) (xy 170.18 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 41fb60d7-3af7-4730-9934-05829de504f9)
+  )
+  (wire (pts (xy 200.66 144.78) (xy 200.66 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4455e184-7acb-4e8d-b4c5-6e0d8acddc9a)
+  )
+  (wire (pts (xy 53.34 165.1) (xy 53.34 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 447ed509-9a86-4e17-9dcc-78102e104e40)
+  )
+  (wire (pts (xy 317.5 218.44) (xy 317.5 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 44ced6cd-989d-4bd4-a78b-1e5a0fdd4766)
+  )
+  (wire (pts (xy 106.68 157.48) (xy 119.38 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 45049dfa-bf1f-47a0-aba7-f1a652d07f4f)
+  )
+  (wire (pts (xy 299.72 118.11) (xy 312.42 118.11))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 451a2a7a-e605-44e2-abd8-a58dbabd94cd)
+  )
+  (wire (pts (xy 220.98 68.58) (xy 231.14 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4617f0ef-a714-48f8-8284-eff4c6b7e5be)
+  )
+  (wire (pts (xy 203.2 144.78) (xy 203.2 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 479919a0-c0f3-4040-b569-8ee067abea85)
+  )
+  (wire (pts (xy 365.76 129.54) (xy 368.3 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 47d4e9bf-0a57-416a-81f5-830858d406bb)
+  )
+  (wire (pts (xy 154.94 43.18) (xy 165.1 43.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 49de7cdb-58bc-470c-9f04-5e3c7cab1c23)
+  )
+  (wire (pts (xy 175.26 154.94) (xy 172.72 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4c285bfb-7e49-4549-afe8-4a58f90609cf)
+  )
+  (wire (pts (xy 266.7 67.31) (xy 276.86 67.31))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 4e66a611-9978-42d3-ad07-09000b413378)
+  )
+  (wire (pts (xy 203.2 162.56) (xy 215.9 162.56))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5015151b-6f4a-4a51-a897-e9aeeb5bc637)
+  )
+  (wire (pts (xy 109.22 152.4) (xy 109.22 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 508308f8-2aea-4941-9e8d-a659df28d5ae)
+  )
+  (wire (pts (xy 109.22 142.24) (xy 109.22 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 524cda9c-c176-4348-987b-acb90acf8c6d)
+  )
+  (wire (pts (xy 215.9 50.8) (xy 215.9 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 52c6e302-30b8-4ee5-b611-ba0016fef9b1)
+  )
+  (wire (pts (xy 332.74 124.46) (xy 342.9 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 53676938-b607-415d-b757-650f7ed282f8)
+  )
+  (wire (pts (xy 266.7 215.9) (xy 266.7 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 53ad18ac-7682-4b17-a5c0-97f2578b02bd)
+  )
+  (wire (pts (xy 287.02 218.44) (xy 287.02 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 54354171-99b6-4afb-8a86-768d536a4672)
+  )
+  (wire (pts (xy 193.04 71.12) (xy 193.04 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 55129c16-2aba-4b07-9478-b947a9143697)
+  )
+  (wire (pts (xy 185.42 180.34) (xy 185.42 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 57140d08-fbc9-4a29-a3d8-62deeca5f509)
+  )
+  (wire (pts (xy 195.58 160.02) (xy 203.2 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5800e9bc-eb4c-4fc9-bb4f-48aa24e2042c)
+  )
+  (wire (pts (xy 195.58 157.48) (xy 205.74 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 595e794a-8a58-4537-8d9a-fbc99968d827)
+  )
+  (wire (pts (xy 266.7 218.44) (xy 276.86 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5d34d6ed-7bd5-48f1-b851-7c0eda6577e6)
+  )
+  (wire (pts (xy 43.18 154.94) (xy 40.64 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5df4c161-e689-4176-8930-3e4360467387)
+  )
+  (wire (pts (xy 200.66 177.8) (xy 200.66 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 5e4a9aaf-96c7-42ae-9f6d-c0daf02a5e67)
+  )
+  (wire (pts (xy 58.42 68.58) (xy 68.58 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 65c100bf-2542-4d7b-a01a-a0e4b0274bc8)
+  )
+  (wire (pts (xy 200.66 63.5) (xy 198.12 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 67176465-6800-40a0-afdb-1752377b65f8)
+  )
+  (wire (pts (xy 134.62 93.98) (xy 147.32 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 67f6a49f-61c2-41cb-87a5-85ae1318bbbf)
+  )
+  (wire (pts (xy 71.12 154.94) (xy 71.12 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 683f579e-b3a4-4d11-9ef5-8ecd86b1794b)
+  )
+  (wire (pts (xy 40.64 157.48) (xy 43.18 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 686603b5-e759-49bf-96e0-5c12b2b9ca55)
+  )
+  (wire (pts (xy 299.72 163.83) (xy 299.72 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 689bd586-34df-4fb7-bef5-345c6540c597)
+  )
+  (wire (pts (xy 119.38 218.44) (xy 119.38 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6a2db1e1-6d77-4d6f-ae2b-1d88571769e3)
+  )
+  (wire (pts (xy 109.22 144.78) (xy 109.22 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6afae99f-add0-49fc-b201-62e0b5662b81)
+  )
+  (wire (pts (xy 266.7 118.11) (xy 276.86 118.11))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6bd88025-68c9-4627-a181-ca73c4609309)
+  )
+  (wire (pts (xy 179.07 53.34) (xy 195.58 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 6fba13c7-7962-42c4-a1d2-f9c678c755b7)
+  )
+  (wire (pts (xy 299.72 118.11) (xy 299.72 119.38))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 70160c56-46b2-4f1a-b223-fa0249de03a4)
+  )
+  (wire (pts (xy 86.36 157.48) (xy 81.28 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7034941c-2c10-4cc6-8ddb-138805e00a8d)
+  )
+  (wire (pts (xy 81.28 76.2) (xy 83.82 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 70c3ccf3-d0c4-43cf-b423-21d0b5d56f31)
+  )
+  (wire (pts (xy 368.3 226.06) (xy 368.3 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 70faef0c-256c-4e38-8e43-1f342b052604)
+  )
+  (wire (pts (xy 220.98 66.04) (xy 231.14 66.04))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 73511eb4-2990-41c4-b607-94547dad4eb2)
+  )
+  (wire (pts (xy 154.94 73.66) (xy 163.83 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7503711f-6077-41fa-9997-c27f038b403e)
+  )
+  (wire (pts (xy 332.74 127) (xy 342.9 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 756e4416-05fc-4ec3-b49a-39ecc984a436)
+  )
+  (wire (pts (xy 276.86 226.06) (xy 276.86 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7623f4ec-0558-454f-be2c-d762e8ffbdc5)
+  )
+  (wire (pts (xy 198.12 165.1) (xy 198.12 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 766db1ef-748f-4a8a-ae37-b7d5f18e43bb)
+  )
+  (wire (pts (xy 299.72 171.45) (xy 312.42 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 76ddfbfa-8c8f-4010-aae2-140c85e18042)
+  )
+  (wire (pts (xy 195.58 53.34) (xy 195.58 66.04))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 775171b1-5a96-4de1-a429-349a856d2142)
+  )
+  (wire (pts (xy 304.8 218.44) (xy 307.34 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 77d92bb2-8414-4eb6-9b79-d23eadca2a9f)
+  )
+  (wire (pts (xy 121.92 147.32) (xy 121.92 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 77d96ff0-32bd-4274-9950-454481390d60)
+  )
+  (wire (pts (xy 106.68 154.94) (xy 121.92 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7811775e-51ee-40bf-a7d7-413548738d3d)
+  )
+  (wire (pts (xy 154.94 73.66) (xy 154.94 83.82))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 788ae745-ee1b-475e-b6c8-e81ffe392207)
+  )
+  (wire (pts (xy 287.02 218.44) (xy 289.56 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 790b2bce-1f37-4dbb-92e4-432a1544e183)
+  )
+  (wire (pts (xy 99.06 226.06) (xy 99.06 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 790e3f45-2b8a-4c18-9ac1-61b10ec6d6ad)
+  )
+  (wire (pts (xy 179.07 63.5) (xy 193.04 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 79d4e245-8c61-4543-a3df-cd51145501e0)
+  )
+  (wire (pts (xy 177.8 83.82) (xy 195.58 83.82))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7a516ab7-5ca8-45f9-a0d7-ef2b9e4a9a81)
+  )
+  (wire (pts (xy 266.7 125.73) (xy 276.86 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7c4913c6-5f22-4a59-a733-f885960212a0)
+  )
+  (wire (pts (xy 33.02 134.62) (xy 73.66 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7cd36700-4248-4236-bd1c-483de005f6aa)
+  )
+  (wire (pts (xy 83.82 134.62) (xy 142.24 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7e21666a-2f9e-422b-b5c1-68bc32b66682)
+  )
+  (wire (pts (xy 299.72 125.73) (xy 312.42 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7e7bc4db-39ce-4f44-a1f4-81ed41f1f0bc)
+  )
+  (wire (pts (xy 297.18 118.11) (xy 299.72 118.11))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7ea7268a-dc5b-4bf2-b4ec-ebc108c6d2f6)
+  )
+  (wire (pts (xy 205.74 86.36) (xy 205.74 88.9))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 7f2f57f4-3d81-430d-a068-b2d46d928cd6)
+  )
+  (wire (pts (xy 73.66 134.62) (xy 83.82 134.62))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 80055f50-2514-4901-9e80-1f68babf14a3)
+  )
+  (wire (pts (xy 78.74 226.06) (xy 78.74 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 80d6dabb-48fa-49fe-a48e-63dd4d0dafee)
+  )
+  (wire (pts (xy 53.34 147.32) (xy 53.34 149.86))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 811f016a-ec93-4bd9-9061-665378bccf65)
+  )
+  (wire (pts (xy 297.18 74.93) (xy 299.72 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 828b0d45-3c23-4c19-a901-4685748a03ac)
+  )
+  (wire (pts (xy 358.14 226.06) (xy 358.14 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 83473c8b-6cd1-4975-a1b8-eecb314fac9a)
+  )
+  (wire (pts (xy 81.28 132.08) (xy 81.28 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8546772a-af1b-4a3a-bce8-2c589cfbc292)
+  )
+  (wire (pts (xy 358.14 215.9) (xy 358.14 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 87323de0-cebc-4753-9e4a-01bdf923c03d)
+  )
+  (wire (pts (xy 203.2 144.78) (xy 205.74 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 87c837f4-f0ad-40ac-9aab-cbe52f5fd89a)
+  )
+  (wire (pts (xy 287.02 151.13) (xy 287.02 153.67))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 883f379b-7eb7-4531-a572-5646b9847632)
+  )
+  (wire (pts (xy 83.82 172.72) (xy 83.82 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 88eece46-dc6d-4991-b30a-3f2c95b4966f)
+  )
+  (wire (pts (xy 368.3 215.9) (xy 368.3 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8903b69b-bc78-4da4-904c-87c9b1ad57f0)
+  )
+  (wire (pts (xy 165.1 127) (xy 165.1 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 891d2f65-3639-42c3-9b1b-ef7a456733bb)
+  )
+  (wire (pts (xy 203.2 162.56) (xy 203.2 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 89265bd8-301d-432d-b092-96b4b48e8e72)
+  )
+  (wire (pts (xy 114.3 144.78) (xy 116.84 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8950bd7c-e830-4e20-9f68-54e7b4aa45d2)
+  )
+  (wire (pts (xy 317.5 226.06) (xy 317.5 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 895c03cb-61d0-4dd9-9033-5d69af29bb21)
+  )
+  (wire (pts (xy 83.82 66.04) (xy 83.82 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8a19079c-8ce2-405d-bd7b-c24265a48da5)
+  )
+  (wire (pts (xy 175.26 167.64) (xy 172.72 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 8f32e4da-1281-4621-9e8b-69ff646b5303)
+  )
+  (wire (pts (xy 347.98 127) (xy 353.06 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 90f0ff99-1e5f-4896-837b-fbe67dc84f40)
+  )
+  (wire (pts (xy 40.64 154.94) (xy 40.64 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 91347ee8-0a3d-4ffa-b3db-6adda14579f7)
+  )
+  (wire (pts (xy 297.18 171.45) (xy 299.72 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 927ee872-af46-474a-af3a-033f409d702b)
+  )
+  (wire (pts (xy 287.02 54.61) (xy 287.02 57.15))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 93907c2d-e32a-4a43-a3eb-66035b476863)
+  )
+  (wire (pts (xy 147.32 63.5) (xy 147.32 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 94b4a646-21ee-4c05-8653-e6c4ac86fb60)
+  )
+  (wire (pts (xy 99.06 218.44) (xy 99.06 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 94d8d43c-094a-45cc-870e-2b2cf22a26ab)
+  )
+  (wire (pts (xy 83.82 172.72) (xy 86.36 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 965ccff9-04a7-429b-bb11-168c33cf83f1)
+  )
+  (wire (pts (xy 200.66 144.78) (xy 203.2 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 970ff045-d21d-4b60-9619-5876a460c064)
+  )
+  (wire (pts (xy 172.72 167.64) (xy 172.72 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 999721b5-4cec-4e8c-a260-9e0950f19f95)
+  )
+  (wire (pts (xy 83.82 182.88) (xy 83.82 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9babd62b-2d56-49fb-b0bb-fd99e6ccf660)
+  )
+  (wire (pts (xy 205.74 152.4) (xy 205.74 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9d93cb83-27d3-40b8-a890-08a3fd3db524)
+  )
+  (wire (pts (xy 165.1 137.16) (xy 165.1 142.24))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9f16111f-e310-42a1-a64f-ba5c3873bcc8)
+  )
+  (wire (pts (xy 378.46 226.06) (xy 378.46 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid 9f368737-0bf4-4016-9525-9370222b0cb6)
+  )
+  (wire (pts (xy 139.7 129.54) (xy 139.7 127))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a11abb43-51fb-4258-ad19-25a3c4a989f1)
+  )
+  (wire (pts (xy 179.07 43.18) (xy 198.12 43.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a2c1e414-96bc-4a85-a8da-14c46f30d369)
+  )
+  (wire (pts (xy 373.38 121.92) (xy 381 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a330a20f-eca9-4081-a3bc-e37f684f6191)
+  )
+  (wire (pts (xy 63.5 157.48) (xy 73.66 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a40d41a3-ff43-4933-8f79-2d0ad0e432a8)
+  )
+  (wire (pts (xy 205.74 154.94) (xy 215.9 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a433673a-0172-4298-afbc-07f468eab1c9)
+  )
+  (wire (pts (xy 203.2 180.34) (xy 200.66 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a4e3fa69-debc-44c9-a242-b3eba0888723)
+  )
+  (wire (pts (xy 83.82 165.1) (xy 83.82 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a5973504-b119-44fe-a1c8-f323d94c89eb)
+  )
+  (wire (pts (xy 195.58 165.1) (xy 198.12 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a6f2a756-d85e-42aa-ae68-6fc915792b96)
+  )
+  (wire (pts (xy 134.62 73.66) (xy 154.94 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a72b650b-0cf7-4a56-8b6f-86f1c022efa8)
+  )
+  (wire (pts (xy 317.5 215.9) (xy 317.5 218.44))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a7ff388e-615f-4d0b-9109-480fa0ee1afe)
+  )
+  (wire (pts (xy 203.2 177.8) (xy 203.2 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a86b1d79-cbfa-4c09-a67e-7dcf2ec79a15)
+  )
+  (wire (pts (xy 119.38 157.48) (xy 134.62 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a8a5e711-e07e-4398-805f-3240f5f9b7b6)
+  )
+  (wire (pts (xy 203.2 152.4) (xy 203.2 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid a9c9eaf8-7dcd-44a9-8877-db67b004747c)
+  )
+  (wire (pts (xy 287.02 135.89) (xy 287.02 138.43))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid aa811b2b-61af-4ec5-b311-a69f27dcb970)
+  )
+  (wire (pts (xy 106.68 167.64) (xy 109.22 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid aa94cea4-7556-4a91-a174-5e892fdc3148)
+  )
+  (wire (pts (xy 83.82 68.58) (xy 88.9 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid abffbcf5-8689-49ac-974e-ee35828ec51c)
+  )
+  (wire (pts (xy 111.76 152.4) (xy 111.76 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ac2d2e22-38da-4342-93fb-28c3bc0b2570)
+  )
+  (wire (pts (xy 368.3 129.54) (xy 368.3 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid acd2349e-adcf-46a4-80dd-5caff64e5983)
+  )
+  (wire (pts (xy 160.02 88.9) (xy 170.18 88.9))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid add7a718-8a7d-43f6-a6f5-ca28014c947e)
+  )
+  (wire (pts (xy 106.68 170.18) (xy 116.84 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ae706e90-7e04-4266-8112-403e3fa35748)
+  )
+  (wire (pts (xy 266.7 226.06) (xy 266.7 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid af17f857-f508-4449-be6f-d9c524a853b1)
+  )
+  (wire (pts (xy 154.94 43.18) (xy 154.94 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b3306bf3-92eb-4bb4-a835-7ab685a76ea4)
+  )
+  (wire (pts (xy 299.72 163.83) (xy 312.42 163.83))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b378789c-9282-4287-8dad-5a9c462d4502)
+  )
+  (wire (pts (xy 276.86 218.44) (xy 276.86 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b46c7385-5253-4809-a2f0-8961b5e7f0cb)
+  )
+  (wire (pts (xy 121.92 152.4) (xy 121.92 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b595704f-6f38-4c33-b16c-8d6cced60f68)
+  )
+  (wire (pts (xy 106.68 165.1) (xy 111.76 165.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b5b7b492-06a7-4ac0-ada8-180e03ffed3a)
+  )
+  (wire (pts (xy 63.5 154.94) (xy 71.12 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b7cb1c03-29ab-4ec6-a105-476220d3a70f)
+  )
+  (wire (pts (xy 116.84 170.18) (xy 134.62 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b82a6290-6912-47ab-bc4b-8bfe4c872b98)
+  )
+  (wire (pts (xy 172.72 170.18) (xy 175.26 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b9020208-824b-4c85-858b-46169c72ba28)
+  )
+  (wire (pts (xy 193.04 68.58) (xy 200.66 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b91e2d19-2741-4eca-9d62-0b7ac7d114cd)
+  )
+  (wire (pts (xy 40.64 160.02) (xy 40.64 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b958e3d2-c3fd-4e57-9cb2-1e87933a3d67)
+  )
+  (wire (pts (xy 165.1 129.54) (xy 162.56 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid b98ba6e6-026a-43bd-80c8-47ed1fcabf67)
+  )
+  (wire (pts (xy 139.7 137.16) (xy 139.7 142.24))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid bb01b3e0-f22d-4569-8969-06877bd38fd1)
+  )
+  (wire (pts (xy 205.74 50.8) (xy 205.74 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid bb9b2ddd-8527-419a-956f-75bca720cd41)
+  )
+  (wire (pts (xy 287.02 226.06) (xy 287.02 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid be3cd1d3-f154-4366-aeea-fc92f5dd7ae0)
+  )
+  (wire (pts (xy 116.84 144.78) (xy 116.84 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid be6e453b-4109-43f5-9d7a-0ba6f10d9415)
+  )
+  (wire (pts (xy 195.58 73.66) (xy 200.66 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c0182328-d3b5-4abb-b43f-bb553fd7ea3c)
+  )
+  (wire (pts (xy 195.58 66.04) (xy 200.66 66.04))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c040da35-f3ba-41b9-b5ea-ec16fc6f0c83)
+  )
+  (wire (pts (xy 96.52 180.34) (xy 96.52 182.88))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c071db03-d914-4d65-8fd8-066b76e13040)
+  )
+  (wire (pts (xy 365.76 121.92) (xy 368.3 121.92))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c1b2acb2-758b-483d-ba69-89a04623b28d)
+  )
+  (wire (pts (xy 266.7 163.83) (xy 276.86 163.83))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c1ba27d1-8fc0-4821-a503-e69275ab010c)
+  )
+  (wire (pts (xy 147.32 63.5) (xy 165.1 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c1dc8896-ef8f-4945-bd33-74d179c25d5c)
+  )
+  (wire (pts (xy 200.66 170.18) (xy 198.12 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c4bb1092-2275-4c37-9211-bb2a5f576444)
+  )
+  (wire (pts (xy 388.62 215.9) (xy 388.62 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c4bb9245-8ec0-4c58-a634-bec791c24afc)
+  )
+  (wire (pts (xy 297.18 125.73) (xy 299.72 125.73))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c58f9b83-b554-4eab-bae1-1670323fda17)
+  )
+  (wire (pts (xy 299.72 170.18) (xy 299.72 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c5e6fcba-f849-4a32-93d1-cda25365ea3b)
+  )
+  (wire (pts (xy 266.7 74.93) (xy 276.86 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c6422721-2005-4f8b-87ff-b81ee8002349)
+  )
+  (wire (pts (xy 109.22 167.64) (xy 134.62 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c8a4c948-8888-4494-9f5b-aae78b8bab0c)
+  )
+  (wire (pts (xy 40.64 160.02) (xy 43.18 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c8e1c8a2-176e-44ac-8f9f-dc3c2f16c398)
+  )
+  (wire (pts (xy 66.04 160.02) (xy 66.04 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c946b2ca-67db-4e0f-a3ff-cc721c197545)
+  )
+  (wire (pts (xy 109.22 218.44) (xy 109.22 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid c986ca11-ad15-4e59-87d0-a93f68e7cb5d)
+  )
+  (wire (pts (xy 205.74 157.48) (xy 205.74 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ca1838be-ccaf-492c-a95f-18841fd93345)
+  )
+  (wire (pts (xy 160.02 38.1) (xy 172.72 38.1))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid caf00b9b-8874-42d4-bd94-f5da200dcdd2)
+  )
+  (wire (pts (xy 287.02 105.41) (xy 287.02 107.95))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cb50b573-92ca-4348-9573-a7435bd49f67)
+  )
+  (wire (pts (xy 96.52 142.24) (xy 96.52 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cd07b4b1-d135-45dd-8c44-3b3b2674dd3f)
+  )
+  (wire (pts (xy 58.42 71.12) (xy 68.58 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cf8586d3-b828-4946-b670-a5450973b0e6)
+  )
+  (wire (pts (xy 86.36 154.94) (xy 83.82 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cfae92eb-d46e-40d4-a9a3-e1ce7175afc9)
+  )
+  (wire (pts (xy 195.58 167.64) (xy 200.66 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid cfd978b7-024e-4d33-975e-0b918f727d26)
+  )
+  (wire (pts (xy 172.72 170.18) (xy 172.72 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d0ad9a4a-77ae-4eee-9f41-099843924a98)
+  )
+  (wire (pts (xy 119.38 152.4) (xy 119.38 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d1058c68-ed5c-4024-9627-35ca87f30052)
+  )
+  (wire (pts (xy 114.3 152.4) (xy 114.3 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d16d648a-dd3c-4a58-b235-bfa9a7942bc9)
+  )
+  (wire (pts (xy 299.72 74.93) (xy 312.42 74.93))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d228e069-c645-4052-89bd-61f62b0bac61)
+  )
+  (wire (pts (xy 266.7 166.37) (xy 276.86 166.37))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d2346e9a-9fe3-4d7d-b074-2145bfb5a00e)
+  )
+  (wire (pts (xy 33.02 132.08) (xy 71.12 132.08))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d23802a4-f4e2-474c-9f46-caecd0ae3a46)
+  )
+  (wire (pts (xy 198.12 93.98) (xy 198.12 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d5ada378-3553-4c61-9726-b769c57d3317)
+  )
+  (wire (pts (xy 299.72 67.31) (xy 299.72 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d638c674-b22b-419b-86b3-85f1feaf7612)
+  )
+  (wire (pts (xy 83.82 167.64) (xy 86.36 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d63fd6c7-29a4-4074-a7c1-d22c9e873165)
+  )
+  (wire (pts (xy 177.8 93.98) (xy 198.12 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d6f6d555-9b56-44bd-89fd-1082f60e1b70)
+  )
+  (wire (pts (xy 378.46 215.9) (xy 378.46 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d9cb6200-7e92-4a50-83fc-514a3a42bcdb)
+  )
+  (wire (pts (xy 220.98 76.2) (xy 231.14 76.2))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid d9dbc395-5de5-49e0-8b26-9f14e233852b)
+  )
+  (wire (pts (xy 88.9 66.04) (xy 88.9 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid db01401f-087c-48c6-9663-4e3656c6114a)
+  )
+  (wire (pts (xy 347.98 226.06) (xy 347.98 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid db1fd9e7-93b8-48e3-8248-c8bbb2cf3359)
+  )
+  (wire (pts (xy 63.5 160.02) (xy 66.04 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid db358fc3-c926-41f1-a8ea-9b65ab41aa42)
+  )
+  (wire (pts (xy 106.68 172.72) (xy 114.3 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid dd3b4318-6748-41ec-abc5-8a97cd3685a1)
+  )
+  (wire (pts (xy 195.58 154.94) (xy 205.74 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid de41441d-60b5-480b-98ac-d5b05133052f)
+  )
+  (wire (pts (xy 111.76 144.78) (xy 114.3 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e21cf3f3-2b85-47ab-bb69-d7f675c4364f)
+  )
+  (wire (pts (xy 142.24 129.54) (xy 139.7 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e40820e7-0959-42fa-8ba4-17d2cb24a6f6)
+  )
+  (wire (pts (xy 154.94 53.34) (xy 165.1 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e45cf422-03e7-4f98-99d3-86ad654ec935)
+  )
+  (wire (pts (xy 220.98 73.66) (xy 231.14 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e55517ec-ec47-4ce9-920d-c86f7bfdc2f5)
+  )
+  (wire (pts (xy 220.98 71.12) (xy 231.14 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e66eaec8-c64f-4240-b726-87cd5ba51412)
+  )
+  (wire (pts (xy 200.66 167.64) (xy 215.9 167.64))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e7eaa6d3-9d8e-4cd9-842c-ae7ccc0acad8)
+  )
+  (wire (pts (xy 147.32 93.98) (xy 163.83 93.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e88ae4d3-bcdc-4e9a-bd48-fd4ef5c597a5)
+  )
+  (wire (pts (xy 347.98 215.9) (xy 347.98 220.98))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid e9a85175-76b8-47b3-95d8-e6345fc4c9f7)
+  )
+  (wire (pts (xy 220.98 63.5) (xy 231.14 63.5))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid eafcf34c-8ab3-415c-bbd2-4e6794205e8e)
+  )
+  (wire (pts (xy 350.52 119.38) (xy 350.52 129.54))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ebb49071-779b-4706-806e-f5012f4f787a)
+  )
+  (wire (pts (xy 170.18 157.48) (xy 175.26 157.48))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ec13dc67-35e0-478d-883c-a11b7f6a5c4c)
+  )
+  (wire (pts (xy 111.76 144.78) (xy 111.76 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid edd6a1ba-1143-4d3e-bb9d-72236771e9bf)
+  )
+  (wire (pts (xy 203.2 180.34) (xy 205.74 180.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ee662ecc-dee6-4297-9c83-4564e2654552)
+  )
+  (wire (pts (xy 68.58 226.06) (xy 68.58 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f1394219-8797-4954-a21d-c4cb0ea8b98e)
+  )
+  (wire (pts (xy 177.8 73.66) (xy 193.04 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f1876379-f8ca-4490-98b9-63201a6059db)
+  )
+  (wire (pts (xy 109.22 226.06) (xy 109.22 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f194b7c7-c561-4bf7-b8a7-2057380c7a25)
+  )
+  (wire (pts (xy 266.7 171.45) (xy 276.86 171.45))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f2f096e4-7f90-4916-ba61-50d500b1cd27)
+  )
+  (wire (pts (xy 200.66 172.72) (xy 200.66 170.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f320ddae-82a2-489f-be13-8dbd422e8884)
+  )
+  (wire (pts (xy 337.82 226.06) (xy 337.82 228.6))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f5b13cec-5741-4551-801f-934e0ce04a35)
+  )
+  (wire (pts (xy 160.02 78.74) (xy 170.18 78.74))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f5f54a55-70c1-4503-a160-48b29a48c3f3)
+  )
+  (wire (pts (xy 205.74 144.78) (xy 205.74 147.32))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f6f73185-ad21-452f-a27b-8754577fb1d5)
+  )
+  (wire (pts (xy 172.72 172.72) (xy 175.26 172.72))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid f82521b6-6892-4bd9-b480-0468625bc646)
+  )
+  (wire (pts (xy 200.66 142.24) (xy 200.66 144.78))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fa18d254-12e4-4e56-999b-a8582e6d11a0)
+  )
+  (wire (pts (xy 200.66 71.12) (xy 193.04 71.12))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fa6723b0-c5be-4181-b6af-253bca457845)
+  )
+  (wire (pts (xy 203.2 160.02) (xy 215.9 160.02))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fadcbfa0-2283-4b04-8684-f8cddbd2ff9d)
+  )
+  (wire (pts (xy 58.42 73.66) (xy 68.58 73.66))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid faf5e14e-aa20-40a4-9295-2d330f0ae589)
+  )
+  (wire (pts (xy 121.92 154.94) (xy 134.62 154.94))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fbadde18-33ed-418e-bfe3-a717cbb7a099)
+  )
+  (wire (pts (xy 266.7 120.65) (xy 276.86 120.65))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fcac6fb4-51ab-4b08-8aca-acf64a1b673b)
+  )
+  (wire (pts (xy 134.62 53.34) (xy 154.94 53.34))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fd59655c-0136-4fa8-b9a1-e57f48fb09ad)
+  )
+  (wire (pts (xy 198.12 63.5) (xy 198.12 43.18))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fe649c9c-1747-4533-99cf-5316d47d0b13)
+  )
+  (wire (pts (xy 142.24 137.16) (xy 139.7 137.16))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fe73b655-dc4d-4f21-947a-784d8831930f)
+  )
+  (wire (pts (xy 160.02 68.58) (xy 170.18 68.58))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid fe98cf91-ba42-401f-af14-113dfae609b8)
+  )
+  (wire (pts (xy 365.76 124.46) (xy 368.3 124.46))
+    (stroke (width 0) (type default) (color 0 0 0 0))
+    (uuid ff26fb4f-4bef-4b89-963b-70f2323f3b56)
+  )
+
+  (text "6x10k" (at 124.46 149.86 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid ac15478d-dcb4-4621-b074-f9b09fb61798)
+  )
+  (text "3x10k" (at 208.28 177.8 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid b4776edd-9619-425b-8cf9-0783f383534c)
+  )
+  (text "3x10k" (at 208.28 149.86 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid d4ffb3b4-1700-4758-bfd3-4be23d2787cd)
+  )
+
+  (label "TXD_DI" (at 266.7 171.45 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 02e890be-11d1-4bbf-b43a-7bd4a4d03f6f)
+  )
+  (label "~{TXD_TXEN}" (at 160.02 38.1 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 036fa61e-507d-4278-813b-cbccb1182bb8)
+  )
+  (label "TXD-" (at 332.74 127 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 0c8f2345-93a7-44de-864f-d17f220d99d0)
+  )
+  (label "SCL" (at 88.9 73.66 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 18ac0338-298a-40b5-97d7-e286cbae436c)
+  )
+  (label "SDA" (at 88.9 71.12 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 1b8ed0f5-2e9e-4015-80b4-a1985cbf6d86)
+  )
+  (label "RXD_DI" (at 266.7 125.73 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 1e16d8c8-4ac4-4c56-92d2-d98dc95a0888)
+  )
+  (label "~{TXD_TXEN}" (at 134.62 157.48 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 28e900d9-fdf7-425a-9617-42d786159375)
+  )
+  (label "UART_TX" (at 134.62 53.34 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 2bb138e3-61b2-4294-80c6-80820765455a)
+  )
+  (label "TXD_DI" (at 231.14 63.5 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 34c0c8b3-eb55-4ba8-b1b4-de93be6e6459)
+  )
+  (label "UART_RX" (at 58.42 68.58 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 34c6f526-562f-4fdf-89b3-c788b37df435)
+  )
+  (label "RXD_RO" (at 231.14 73.66 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 3584b16c-a735-4585-b131-b3a773e1e17d)
+  )
+  (label "RXD-" (at 312.42 118.11 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 3701b4cf-ffc8-43a4-831a-7cd3cb11f27d)
+  )
+  (label "SCL_ISO" (at 165.1 134.62 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 3b9fb47f-970d-49ad-bbd5-ae6987a8a18f)
+  )
+  (label "~{PPS_TXEN}" (at 160.02 58.42 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 3d6df508-0735-429f-850d-274759234988)
+  )
+  (label "~{RXD_TXEN}" (at 160.02 48.26 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 417167cf-9977-4125-bbe3-970ddd556298)
+  )
+  (label "RXD+" (at 381 124.46 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 43f397bb-f2c4-40c9-a10b-27fc3e9bb046)
+  )
+  (label "RXD_DI" (at 231.14 66.04 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 54e77043-9eb0-477c-8abb-9aff26f53eb6)
+  )
+  (label "~{RXD_RE}" (at 215.9 160.02 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 5754098a-7aa1-4506-a72c-3a221c17e514)
+  )
+  (label "~{RXD_TXEN}" (at 134.62 172.72 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 595a6411-5c36-47d7-bcb3-7afd78435f13)
+  )
+  (label "~{RXD_RXEN}" (at 134.62 170.18 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 596fe8b1-8bde-4fcc-b80e-3a51b866210f)
+  )
+  (label "PPS_RO" (at 266.7 67.31 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 5cc4ebe0-8f41-433a-9813-a452c7d25710)
+  )
+  (label "RXD_RO" (at 266.7 118.11 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 6386080c-9804-4734-8ec3-5fa22b6c24b6)
+  )
+  (label "SDA_ISO" (at 165.1 132.08 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 64183efd-803c-4133-b8bf-c6445f2b8a90)
+  )
+  (label "~{PPS_TXEN}" (at 134.62 167.64 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 647b9313-3314-4b52-bdb5-f558b32d63c0)
+  )
+  (label "~{PPS_RE}" (at 266.7 69.85 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 65a08ef2-da90-4c64-8a9f-2fdad92de85d)
+  )
+  (label "~{TXD_RXEN}" (at 134.62 154.94 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 6bbb47cb-0782-488c-8b58-05ca35f14e49)
+  )
+  (label "~{RXD_RE}" (at 266.7 120.65 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 75288e91-893e-407b-9c88-4414e368750d)
+  )
+  (label "~{TXD_RXEN}" (at 160.02 68.58 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 7f051bab-435d-44db-8ba8-a44b04df4a02)
+  )
+  (label "UART_TX" (at 58.42 71.12 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 845b8cbd-a2b6-44a9-8beb-19baf7ad899b)
+  )
+  (label "TXD_RO" (at 231.14 71.12 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 85503167-3d16-445b-8054-1c0890a078e3)
+  )
+  (label "~{PPS_RXEN}" (at 134.62 165.1 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 90a62719-d8f6-4a79-a00a-ee9443e38c4c)
+  )
+  (label "SCL" (at 33.02 134.62 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 92783acd-a379-4f45-b40d-53c831dde953)
+  )
+  (label "SDA" (at 33.02 132.08 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 98088bbd-3ecf-44db-8b1f-2e5bdb1a4cba)
+  )
+  (label "RXD+" (at 312.42 125.73 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid 986c6436-4724-4db1-8688-45195136a8f2)
+  )
+  (label "~{TXD_RE}" (at 266.7 166.37 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid 9fc89731-4b7c-4273-880f-3106824975cc)
+  )
+  (label "UART_RX" (at 134.62 73.66 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid a4857a59-3b38-4d4d-88a3-113df589c29c)
+  )
+  (label "PPS-" (at 312.42 67.31 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid b0e92313-b599-4dc7-bf64-917afed02395)
+  )
+  (label "TXD+" (at 312.42 171.45 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid b1f3668d-a080-4a4e-8fbf-b6d428dfc77e)
+  )
+  (label "PPS_DI" (at 231.14 68.58 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid b5df00f6-632f-4360-a2b3-bf256631e444)
+  )
+  (label "TXD+" (at 381 127 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid be9eca17-d975-4de6-bb93-8a460c8a0cf7)
+  )
+  (label "TXD-" (at 312.42 163.83 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid c06e5175-45c8-4a91-9964-da4fcca1413e)
+  )
+  (label "TXD_DE" (at 266.7 168.91 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid c1791292-c713-4777-b6d1-6c40e86122d3)
+  )
+  (label "PPS_IO" (at 134.62 93.98 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid cad02d28-579b-430c-8b42-dee051911164)
+  )
+  (label "PPS+" (at 312.42 74.93 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid cd35d242-064d-47f3-9569-fd89bb7dbe98)
+  )
+  (label "~{PPS_RE}" (at 215.9 167.64 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid cf34ffc5-7cba-4a53-9a28-8773eb2961f6)
+  )
+  (label "PPS+" (at 381 121.92 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid d61c41f2-1fa2-41dc-9431-06d7d2a4c452)
+  )
+  (label "RXD_DE" (at 215.9 162.56 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid d9a8e271-6d37-4dd3-90c6-3b2a98a52a8d)
+  )
+  (label "PPS_DE" (at 215.9 165.1 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid db3f58f8-e689-4b29-ab6d-ec569c340d39)
+  )
+  (label "~{PPS_RXEN}" (at 160.02 88.9 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid df92eba6-9a1d-4826-8a61-3ba9b493314c)
+  )
+  (label "PPS_RO" (at 231.14 76.2 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid e27a95ec-1474-40b2-a862-7f08e5fd9c63)
+  )
+  (label "RXD_DE" (at 266.7 123.19 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid e3a68988-1dfb-4313-914c-6c198f281889)
+  )
+  (label "TXD_RO" (at 266.7 163.83 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid e40fb0fd-b99c-495a-a774-94fd2e6a175d)
+  )
+  (label "PPS-" (at 332.74 121.92 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid e8a37b12-7dcb-45cf-9f6a-dd3be3ac8561)
+  )
+  (label "PPS_DE" (at 266.7 72.39 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f1b407be-14d7-48e6-b396-d6a251cec23c)
+  )
+  (label "PPS_DI" (at 266.7 74.93 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f1deaa5f-174e-4eb8-aeae-55d182c8c398)
+  )
+  (label "PPS_IO" (at 58.42 73.66 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f212d208-0807-4a48-916e-075314d22f82)
+  )
+  (label "RXD-" (at 332.74 124.46 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f76d9ea8-d9da-49ed-9d45-c9681451ce0a)
+  )
+  (label "~{RXD_RXEN}" (at 160.02 78.74 0)
+    (effects (font (size 1.27 1.27)) (justify left bottom))
+    (uuid f99f4920-039a-4403-92ba-086cbabc0113)
+  )
+  (label "TXD_DE" (at 215.9 157.48 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid fc9cf336-1a73-42a0-944c-88416d0104d9)
+  )
+  (label "~{TXD_RE}" (at 215.9 154.94 180)
+    (effects (font (size 1.27 1.27)) (justify right bottom))
+    (uuid ff12be1d-3148-420f-8357-fead9f2c06c7)
+  )
+
+  (symbol (lib_id "power:+3V3") (at 205.74 50.8 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 014f1669-ae08-450a-b680-baefaf87ddba)
+    (property "Reference" "#PWR04" (id 0) (at 205.74 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 205.74 47.2242 0))
+    (property "Footprint" "" (id 2) (at 205.74 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 205.74 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8daa8167-4657-42e5-91b3-602c4c195a8e))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 347.98 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 01cd3ff7-d8a9-423f-8d8f-f12ef272ae89)
+    (property "Reference" "#PWR042" (id 0) (at 347.98 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 347.98 212.3242 0))
+    (property "Footprint" "" (id 2) (at 347.98 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 347.98 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 54fe9d88-c856-4f10-bbee-65e11fd3433e))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 83.82 165.1 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 05d28ea0-5a12-4b12-97a9-e85074515c0a)
+    (property "Reference" "#PWR014" (id 0) (at 83.82 168.91 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 81.28 165.1 90))
+    (property "Footprint" "" (id 2) (at 83.82 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b5cf2a67-72a1-40d8-8451-f1544f2568db))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 203.2 175.26 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 05e6fc07-ec20-47dc-8c98-fb39870e0f88)
+    (property "Reference" "R15" (id 0) (at 203.2 175.26 90))
+    (property "Value" "10k" (id 1) (at 203.2 180.34 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 203.2 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 203.2 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 2bb49905-f7d8-4728-b59d-c741f885850d))
+    (pin "2" (uuid cc4fdc2a-9888-490e-a1e9-9bcc4d559216))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 358.14 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 0972fc5a-7164-4601-b8b1-52f8753cce7c)
+    (property "Reference" "C13" (id 0) (at 355.8159 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 355.8159 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 358.14 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 358.14 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 24074500-ee06-4b3b-b410-c5a5afa0a7d1))
+    (pin "2" (uuid fed033ca-d38c-4e11-99ad-fe5c31ba980a))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 184.15 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 0c8f918a-87ac-40a7-a162-8a3ba3a2ceb6)
+    (property "Reference" "#PWR024" (id 0) (at 287.02 190.5 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 188.5934 0))
+    (property "Footprint" "" (id 2) (at 287.02 184.15 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 184.15 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6e9ab438-6513-47b7-89f1-bae9f63cddce))
+  )
+
+  (symbol (lib_id "power:+12VA") (at 350.52 119.38 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 0e0d3540-e1d3-45db-a988-7409b5b5261f)
+    (property "Reference" "#PWR025" (id 0) (at 350.52 123.19 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+12VA" (id 1) (at 350.52 115.8042 0))
+    (property "Footprint" "" (id 2) (at 350.52 119.38 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 350.52 119.38 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 43187b46-cb7b-4240-b31a-6e02e18dd608))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 172.72 43.18 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 1009c53b-d5a6-4d41-bc74-1567298b8f04)
+    (property "Reference" "U1" (id 0) (at 175.26 40.64 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 180.34 35.56 0))
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 172.72 43.18 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 172.72 43.18 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid ac486a53-e328-4f5b-afe1-0757728cd402))
+    (pin "8" (uuid a6f9f4a4-26fb-4ef6-b85a-7c485f6992bc))
+    (pin "1" (uuid 5a187f51-c0ca-4888-ab1d-9ced5b66fa0c))
+    (pin "2" (uuid 5d34eb60-8c1e-4978-86e0-d5afcf60cb6e))
+    (pin "6" (uuid 6c25a71a-80c6-4afd-9e7e-60378e752569))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 138.43 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 100c3c95-47ca-4757-9c32-6a573cc6e1a3)
+    (property "Reference" "#PWR022" (id 0) (at 287.02 144.78 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 142.8734 0))
+    (property "Footprint" "" (id 2) (at 287.02 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 74658f73-bf02-4871-9ace-adacc9cfee91))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 170.18 73.66 0) (mirror y) (unit 2)
+    (in_bom yes) (on_board yes)
+    (uuid 1241c5c8-3bf9-4ac1-a0e7-c680388dae3e)
+    (property "Reference" "U1" (id 0) (at 175.26 71.12 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 170.815 80.7673 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 170.18 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 170.18 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid 935fddf1-ca48-4b9b-9452-0d7a4b3312ba))
+    (pin "8" (uuid 541d28a4-d832-483e-9ae1-3a014710c3a2))
+    (pin "3" (uuid 4f4ced1d-370b-4641-ada0-9a34c9f31a73))
+    (pin "5" (uuid c4d623b9-8c75-4e40-bc6e-a5d5688346ec))
+    (pin "7" (uuid a7ab3a6d-7fb7-4e2d-94ce-6e6935fb551e))
+  )
+
+  (symbol (lib_id "Memory_EEPROM:M24C02-FMN") (at 53.34 157.48 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 14bf1363-1bc6-4399-8dad-4a6ed70cadf6)
+    (property "Reference" "U12" (id 0) (at 55.3594 148.7002 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "M24C02-FMN" (id 1) (at 55.3594 151.2371 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 53.34 148.59 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/b0/d8/50/40/5a/85/49/6f/DM00071904.pdf/files/DM00071904.pdf/jcr:content/translations/en.DM00071904.pdf" (id 3) (at 54.61 170.18 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e20e4546-fe7c-4853-bf7d-fc6943ae7aa2))
+    (pin "2" (uuid c67d5c20-d942-48e9-a95c-9673a183f42e))
+    (pin "3" (uuid 12db7a47-a27f-4d66-b6f6-2f3afc060c45))
+    (pin "4" (uuid c30673ae-801e-4100-a71a-0bf6bfa817c6))
+    (pin "5" (uuid 5dbe606e-a44f-4703-bd3d-51760132b80f))
+    (pin "6" (uuid a39e7bf2-cd71-4413-859b-69cceb00613e))
+    (pin "7" (uuid 93f1becd-4972-4730-af56-207571fc0fb6))
+    (pin "8" (uuid f31e2773-3d30-4774-979e-983807b070e1))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 266.7 223.52 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 14c9362c-bdee-41d4-8509-e74a37ac2991)
+    (property "Reference" "R4" (id 0) (at 265.2014 222.6853 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "1k" (id 1) (at 265.2014 225.2222 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 266.7 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 266.7 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 7c38a2fa-58e3-472f-87e8-75a6e13d2efd))
+    (pin "2" (uuid c0ea2ad5-1fde-4f02-aee2-1ec064051268))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 88.9 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 175b6bba-723c-4c12-977b-5d1ec581c631)
+    (property "Reference" "C3" (id 0) (at 86.5759 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 86.5759 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 88.9 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 88.9 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6ab28e0e-7fe6-4f2e-8de2-c7685e4e0f8a))
+    (pin "2" (uuid 1a735882-a5ca-421d-b4ba-5b26cd449a81))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 368.3 132.08 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 178d56f8-3843-4f10-90d1-5d378381e828)
+    (property "Reference" "#PWR026" (id 0) (at 368.3 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 368.3 136.5234 0))
+    (property "Footprint" "" (id 2) (at 368.3 132.08 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 368.3 132.08 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 90aa552c-ec13-445b-b523-97a7ee43e6d5))
+  )
+
+  (symbol (lib_id "power:GND") (at 53.34 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 1c62d1f4-92a3-4d5a-9684-4f720ce7c499)
+    (property "Reference" "#PWR0102" (id 0) (at 53.34 173.99 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 53.34 172.0834 0))
+    (property "Footprint" "" (id 2) (at 53.34 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 53.34 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f64510a5-1780-4626-8b89-4c503923077d))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 297.18 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 21785d01-7b85-4f60-8638-d0fc249190d9)
+    (property "Reference" "#PWR049" (id 0) (at 297.18 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 297.18 233.0434 0))
+    (property "Footprint" "" (id 2) (at 297.18 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 297.18 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 91f792eb-0547-45e0-9535-69791f0227d9))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 99.06 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 21877b10-0106-4ed4-8f3d-dd714e0edcfd)
+    (property "Reference" "#PWR030" (id 0) (at 99.06 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 99.06 214.8642 0))
+    (property "Footprint" "" (id 2) (at 99.06 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 99.06 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 4d36f1f9-f3e3-4089-b396-6dc954a2cfc2))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 119.38 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 28090229-3ceb-450f-bab7-7afd95c45aa7)
+    (property "Reference" "#PWR032" (id 0) (at 119.38 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 119.38 214.8642 0))
+    (property "Footprint" "" (id 2) (at 119.38 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 119.38 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 79a30096-ffc9-4569-8e6d-c4cfd3bbd64c))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 345.44 127 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 2cc3c96b-e4a9-4807-a309-5cb64c75a1fb)
+    (property "Reference" "F5" (id 0) (at 347.98 125.73 90))
+    (property "Value" "300mA" (id 1) (at 345.44 127 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 350.52 125.73 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 345.44 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 99e2ffc2-7f26-425e-9cc7-e427cd72b8a7))
+    (pin "2" (uuid 061dadde-c87f-4c6d-be0e-825235195e60))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 139.7 127 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 30c4ebec-1f18-46e9-9ded-f42496c0f4ab)
+    (property "Reference" "#PWR08" (id 0) (at 139.7 130.81 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 139.7 123.4242 0))
+    (property "Footprint" "" (id 2) (at 139.7 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 139.7 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e8743776-3a58-4d75-ad69-b07fca4b513a))
+  )
+
+  (symbol (lib_id "Connector_Generic:Conn_02x04_Odd_Even") (at 76.2 73.66 180) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 30e215e6-8ba1-4d4e-a4f7-4ddb4064f495)
+    (property "Reference" "J1" (id 0) (at 74.93 63.6102 0))
+    (property "Value" "EXT_IN" (id 1) (at 74.93 66.1471 0))
+    (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" (id 2) (at 76.2 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 76.2 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid c76edcdd-67c9-430a-b1bf-bfb02dcdd89f))
+    (pin "2" (uuid 9a95f062-5abc-4b74-82d4-a63b933098d7))
+    (pin "3" (uuid a7f8b7c3-06d6-49ef-b344-3141997c80ec))
+    (pin "4" (uuid e5a14200-50a9-4db1-ab18-b35118b0f885))
+    (pin "5" (uuid 6c7e31e3-2b43-4dd3-8a81-3deda3cc19b3))
+    (pin "6" (uuid ad021c76-b6ad-49d9-88b7-069b692b6254))
+    (pin "7" (uuid 2c976b53-2d4b-485e-89e6-31a00a78b165))
+    (pin "8" (uuid 590d6495-309b-44e0-abda-2f030d6679df))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 287.02 151.13 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 3287ef49-b33d-4013-ada3-83b8c68abec6)
+    (property "Reference" "#PWR023" (id 0) (at 287.02 154.94 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 287.02 147.5542 0))
+    (property "Footprint" "" (id 2) (at 287.02 151.13 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 151.13 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid cb7a4349-934a-4449-9b36-21e660efbc29))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 205.74 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 32c94a20-5c46-4e34-98fa-24a4f70995b6)
+    (property "Reference" "R13" (id 0) (at 205.74 149.86 90))
+    (property "Value" "10k" (id 1) (at 205.74 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 205.74 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 205.74 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e0a9e0eb-c8a6-46e8-8c62-0ff35219a095))
+    (pin "2" (uuid 9750af7b-b875-4779-8280-e2fccf7a2623))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 68.58 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 370d7aea-c5df-44d3-84d7-e54ffd20bce3)
+    (property "Reference" "C1" (id 0) (at 66.2559 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 66.2559 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 68.58 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 68.58 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0cb2f476-84cb-4a8d-ac5e-a3b7281a5e91))
+    (pin "2" (uuid 8ac5f47e-d164-45d5-bc5e-30ca83140d27))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 375c3623-4d3c-4e78-881d-cdf463807056)
+    (property "Reference" "#PWR048" (id 0) (at 287.02 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 233.0434 0))
+    (property "Footprint" "" (id 2) (at 287.02 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 284c35da-c549-47a5-8b16-0f20d9022c4d))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 266.7 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 385060e0-0fc9-47ee-9079-90ca281e5dd1)
+    (property "Reference" "#PWR061" (id 0) (at 266.7 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 266.7 233.0434 0))
+    (property "Footprint" "" (id 2) (at 266.7 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 266.7 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b6b68958-0d10-4cfa-8281-77c059ced127))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 368.3 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 38546697-4fdb-4498-8b17-5aa799e1c53e)
+    (property "Reference" "C14" (id 0) (at 365.9759 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 365.9759 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 368.3 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 368.3 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 562e2906-67b6-408d-9392-d7896b1505a2))
+    (pin "2" (uuid 3a42c955-1e1f-43e3-8605-567105f0b051))
+  )
+
+  (symbol (lib_id "Interface_Expansion:TCA9534") (at 185.42 162.56 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 38908880-dacf-469d-843a-1aa389d299dd)
+    (property "Reference" "U7" (id 0) (at 187.4394 147.4302 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "TCA9534" (id 1) (at 187.4394 149.9671 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (id 2) (at 209.55 176.53 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tca9534.pdf" (id 3) (at 187.96 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ce24ce43-dee6-4b53-bd77-59c45ee86e6c))
+    (pin "10" (uuid 8d15d0e1-3e87-4308-9ab4-4d3b09c621bc))
+    (pin "11" (uuid 6c6cc21e-cc1c-4ca7-a8d0-2efd5cd8ce56))
+    (pin "12" (uuid 5b746357-6cb8-49d1-8d23-1832e5753ede))
+    (pin "13" (uuid 647ba479-5f5b-49b1-9e87-48dc3abe6100))
+    (pin "14" (uuid 4c9cdd80-69b9-4265-b634-11a9fccc0dd2))
+    (pin "15" (uuid bdcf26bd-b0ab-48ac-b629-ee125a49ec01))
+    (pin "16" (uuid b2d5745c-2ca0-4e02-92b3-c3d9a542e8bb))
+    (pin "2" (uuid e21d28be-d341-412a-96c4-e9d855adcb6b))
+    (pin "3" (uuid 59ff31eb-8438-438f-ac6d-94786a0e4a18))
+    (pin "4" (uuid 7a7fe6c6-7d66-437b-8052-96c7c9844d0c))
+    (pin "5" (uuid 32cae2e2-f16f-4e55-8bef-ffdf2c059c6b))
+    (pin "6" (uuid 1a1f0a9c-ee53-4fd4-b8c2-b26971a190a7))
+    (pin "7" (uuid 7bf8dc14-a280-4442-a9aa-d04c3c6944ee))
+    (pin "8" (uuid f513da02-29aa-404e-adb3-128aa7cd85a4))
+    (pin "9" (uuid 6d341081-e485-4fd1-933c-ec15fba8c3bc))
+  )
+
+  (symbol (lib_id "power:GND") (at 83.82 78.74 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 3b0f90e8-57d9-42d7-85e4-9d338a5720fc)
+    (property "Reference" "#PWR03" (id 0) (at 83.82 85.09 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 83.82 83.1834 0))
+    (property "Footprint" "" (id 2) (at 83.82 78.74 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 78.74 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 74fd62a9-2cf1-466e-8ed6-73a967171883))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 109.22 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 3b5c4058-7a13-472c-8998-684becebf99a)
+    (property "Reference" "R5" (id 0) (at 109.22 149.86 90))
+    (property "Value" "10k" (id 1) (at 109.22 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 109.22 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 109.22 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 238fe513-c3b5-4142-94cd-62435441511f))
+    (pin "2" (uuid 70f61e09-3e6e-4527-87b1-b4a6707684b6))
+  )
+
+  (symbol (lib_id "power:GND") (at 109.22 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 3d574036-99e0-44cc-9972-76bca24e59d0)
+    (property "Reference" "#PWR037" (id 0) (at 109.22 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 109.22 233.0434 0))
+    (property "Footprint" "" (id 2) (at 109.22 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 109.22 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3239f4fd-13b4-4e29-b6a1-90ac41b1129e))
+  )
+
+  (symbol (lib_id "power:GND") (at 88.9 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 3df34b4e-4727-47b7-abcd-c92bf61ec68c)
+    (property "Reference" "#PWR035" (id 0) (at 88.9 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 88.9 233.0434 0))
+    (property "Footprint" "" (id 2) (at 88.9 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 88.9 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 66e18ffe-6820-47df-9a78-29f50c8098aa))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 370.84 127 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 4218b289-c8bc-40ed-a633-54c36234cde1)
+    (property "Reference" "F6" (id 0) (at 367.03 125.73 90))
+    (property "Value" "300mA" (id 1) (at 370.84 127 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 375.92 125.73 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 370.84 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid d0066d4a-5656-4823-950a-75d8ee183c7a))
+    (pin "2" (uuid 60da7a5b-f548-403a-93bf-da4bcc53f749))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 337.82 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 432d3e97-0aab-47b6-9ed1-394040bdf7d0)
+    (property "Reference" "#PWR041" (id 0) (at 337.82 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 337.82 212.3242 0))
+    (property "Footprint" "" (id 2) (at 337.82 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 337.82 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b41bfaa3-83c5-49e2-acb6-1e7877752e47))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 317.5 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 438c035b-6d58-4370-a7c0-b80fa7da5fae)
+    (property "Reference" "#PWR051" (id 0) (at 317.5 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 317.5 233.0434 0))
+    (property "Footprint" "" (id 2) (at 317.5 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 317.5 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 78f9202a-5ffd-4ab4-aa45-2956c0ba2786))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 307.34 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 4583899e-4fbe-4758-af88-ed7b9ffa3b7e)
+    (property "Reference" "#PWR050" (id 0) (at 307.34 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 307.34 233.0434 0))
+    (property "Footprint" "" (id 2) (at 307.34 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 307.34 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 540da161-bb0d-46bb-a8e5-5276ae4eedba))
+  )
+
+  (symbol (lib_id "power:GND") (at 96.52 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 4602adbc-fa58-4643-ab33-fa612b443b3b)
+    (property "Reference" "#PWR016" (id 0) (at 96.52 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 96.52 187.3234 0))
+    (property "Footprint" "" (id 2) (at 96.52 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 96.52 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid bc4c86c7-e6ab-4512-939e-234f201590a3))
+  )
+
+  (symbol (lib_id "power:GND") (at 205.74 88.9 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 470e8523-14fd-415f-95ca-b3f2bf949baa)
+    (property "Reference" "#PWR06" (id 0) (at 205.74 95.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 205.74 93.3434 0))
+    (property "Footprint" "" (id 2) (at 205.74 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 205.74 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid eb42a5f1-93b5-4fd1-9cef-ad3740fe2522))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 203.2 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 4b1cc371-f92b-48fe-b65f-234306fabc06)
+    (property "Reference" "R12" (id 0) (at 203.2 149.86 90))
+    (property "Value" "10k" (id 1) (at 203.2 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 203.2 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 203.2 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 02945cde-3052-43e5-96eb-76850d843862))
+    (pin "2" (uuid 0d3fc9a4-ef9c-4568-ab01-18010a1866ff))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 287.02 54.61 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5214a3c3-5537-4b4e-9d46-adcb220d0aee)
+    (property "Reference" "#PWR019" (id 0) (at 287.02 58.42 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 287.02 51.0342 0))
+    (property "Footprint" "" (id 2) (at 287.02 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid fb38b528-014c-46eb-90c3-b448db10636d))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 172.72 53.34 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 53eb902d-7a32-4c8a-b250-d4047ea9a4e1)
+    (property "Reference" "U2" (id 0) (at 175.26 50.8 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 172.085 60.4473 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 172.72 53.34 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 172.72 53.34 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid fa533a51-82b8-49f3-9aaa-c61e3d18a983))
+    (pin "8" (uuid 0edbd842-a0d1-4fe5-93fd-743081d8cd66))
+    (pin "1" (uuid ac24a0d5-6ef7-4d81-a140-a4582b073b17))
+    (pin "2" (uuid 893f7389-f21d-4371-8d4c-d6af874af153))
+    (pin "6" (uuid ee5ffbb1-129b-4e8e-a8af-73a76756ab97))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 88.9 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 562e6c82-0af5-4762-807f-9116b88f2d90)
+    (property "Reference" "#PWR029" (id 0) (at 88.9 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 88.9 214.8642 0))
+    (property "Footprint" "" (id 2) (at 88.9 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 88.9 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 1cb5ab75-a04c-426a-aadf-186d4844e655))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 165.1 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 56f00518-05e0-4150-9220-83cbacc15a12)
+    (property "Reference" "#PWR011" (id 0) (at 165.1 148.59 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 165.1 146.6834 0))
+    (property "Footprint" "" (id 2) (at 165.1 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 165.1 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3d7bc612-e1f0-4b1f-8ab3-fedd184b58da))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 200.66 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 586807b8-aa12-4af2-9a32-0449ba9c7178)
+    (property "Reference" "#PWR060" (id 0) (at 200.66 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 200.66 187.3234 0))
+    (property "Footprint" "" (id 2) (at 200.66 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 200.66 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6b1a3c0a-05c3-4fdb-aa04-611bd61b9317))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 172.72 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 58a79613-ad24-4858-975c-924f9df4e16e)
+    (property "Reference" "#PWR017" (id 0) (at 172.72 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 172.72 187.3234 0))
+    (property "Footprint" "" (id 2) (at 172.72 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 172.72 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f8ec22f3-7387-4ca3-ac82-d42cb1557d1b))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 114.3 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5b234ca9-2d03-4a23-bde4-9e56846a4c88)
+    (property "Reference" "R7" (id 0) (at 114.3 149.86 90))
+    (property "Value" "10k" (id 1) (at 114.3 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 114.3 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 114.3 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e82d9adb-2242-4a8b-8b11-aa27120b16de))
+    (pin "2" (uuid 5d9d781c-57c2-4faa-9c18-c651c5d3ab03))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 388.62 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5bcf7198-2baa-4595-8024-98eb67f162d6)
+    (property "Reference" "#PWR046" (id 0) (at 388.62 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 388.62 212.3242 0))
+    (property "Footprint" "" (id 2) (at 388.62 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 388.62 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid bf2a0fae-dbbe-404e-979f-7072d775363a))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 200.66 175.26 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 5ce7f881-e281-4738-b8ef-9071b387f31d)
+    (property "Reference" "R14" (id 0) (at 200.66 175.26 90))
+    (property "Value" "10k" (id 1) (at 200.66 180.34 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 200.66 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 200.66 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b27b673d-22fc-438d-8894-63b692d1f88d))
+    (pin "2" (uuid e5047f32-cee5-43d2-87af-33f4a150aee6))
+  )
+
+  (symbol (lib_id "power:GND") (at 139.7 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 5d59c1fa-bf2f-4879-8e0b-02bc837a7e18)
+    (property "Reference" "#PWR010" (id 0) (at 139.7 148.59 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 139.7 146.6834 0))
+    (property "Footprint" "" (id 2) (at 139.7 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 139.7 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0c3c9fbd-059c-4184-a622-5325d1ab897a))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 317.5 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 60dff287-a533-42f6-8e08-8a32c0a50907)
+    (property "Reference" "#PWR040" (id 0) (at 317.5 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 317.5 212.3242 0))
+    (property "Footprint" "" (id 2) (at 317.5 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 317.5 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 20a32ca5-911e-465e-bf5b-13b3d58d2779))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 170.18 93.98 0) (mirror y) (unit 2)
+    (in_bom yes) (on_board yes)
+    (uuid 619bdb41-b897-4fb9-bc8a-bee824445120)
+    (property "Reference" "U3" (id 0) (at 175.26 91.44 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 170.815 101.0873 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 170.18 93.98 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 170.18 93.98 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid 1c5ecded-6dd7-4ac9-998f-f511dd52201f))
+    (pin "8" (uuid 7cfa880e-6333-4118-8735-9dacd7359246))
+    (pin "3" (uuid ac484a57-5190-495f-ae56-6f802d4160e5))
+    (pin "5" (uuid 1f8d4c95-a418-48df-841c-69e26c7c1bed))
+    (pin "7" (uuid ef62176d-8248-48ba-8639-ec11138084e0))
+  )
+
+  (symbol (lib_id "power:GND") (at 99.06 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 62aeff0b-8fbc-43e1-a94c-65b6cfd93f99)
+    (property "Reference" "#PWR036" (id 0) (at 99.06 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 99.06 233.0434 0))
+    (property "Footprint" "" (id 2) (at 99.06 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 99.06 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 6b5dc56d-c41b-4fb3-b935-1e60670c5b09))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 83.82 66.04 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 6337eec9-06f4-430b-a1a8-2c893c3c0836)
+    (property "Reference" "#PWR01" (id 0) (at 83.82 69.85 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 83.82 62.4642 0))
+    (property "Footprint" "" (id 2) (at 83.82 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f61f698b-e114-4358-9b92-e3b89586a690))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:SP485") (at 287.02 120.65 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 65886466-a3a0-44a6-bd3e-eb8f54898fb1)
+    (property "Reference" "U9" (id 0) (at 289.0394 106.7902 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "SP485" (id 1) (at 289.0394 109.3271 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 287.02 138.43 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 287.02 119.38 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 65d3a182-5275-4512-a5e3-c20c0c694ffd))
+    (pin "2" (uuid 4f83de49-5808-4aa1-bd69-ab1f6ad0ad3d))
+    (pin "3" (uuid d575f245-53c4-44bd-9a1e-edd3b26a8474))
+    (pin "4" (uuid 8b64bfe6-003a-461c-a04d-2b8880aeaf8e))
+    (pin "5" (uuid 19d30b44-6d6b-4a32-8940-fe84898c730c))
+    (pin "6" (uuid 36c5a5f1-5216-4249-9a4f-5e56479401ee))
+    (pin "7" (uuid f567ebe0-93fd-43fa-b74d-07b5c71a5caf))
+    (pin "8" (uuid 5be3fcf0-46cd-4786-b369-3cea9f1e6915))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 358.14 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 69fb42f2-7a38-41dd-b0ed-25c5969057e0)
+    (property "Reference" "#PWR043" (id 0) (at 358.14 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 358.14 212.3242 0))
+    (property "Footprint" "" (id 2) (at 358.14 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 358.14 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b536c9f9-b3b6-4f49-af8a-4aff96de14e4))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 347.98 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 6b4e705f-7564-4516-98af-d4372beb4519)
+    (property "Reference" "#PWR053" (id 0) (at 347.98 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 347.98 233.0434 0))
+    (property "Footprint" "" (id 2) (at 347.98 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 347.98 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 5f146ceb-b76b-4892-9754-7d660a9354e5))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 345.44 124.46 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 6c19c75a-f2e7-4eec-bdac-75ad271b3be1)
+    (property "Reference" "F3" (id 0) (at 347.98 123.19 90))
+    (property "Value" "300mA" (id 1) (at 345.44 124.46 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 350.52 123.19 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 345.44 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 633a6358-056d-4614-b305-b536c45a5789))
+    (pin "2" (uuid 8a734974-a070-4960-b983-f461a68e1a18))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 287.02 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 70cef4b1-374f-4188-a820-7b0fcd00b427)
+    (property "Reference" "C8" (id 0) (at 284.6959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 284.6959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 287.02 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 287.02 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b83e099f-ef63-49a5-afd9-22222a6a3949))
+    (pin "2" (uuid 444f496b-f0fd-4c36-8e83-4c602e96ebd3))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 185.42 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 72aac2b5-a74b-4a61-a8b7-bce8beb3ccc3)
+    (property "Reference" "#PWR018" (id 0) (at 185.42 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 185.42 187.3234 0))
+    (property "Footprint" "" (id 2) (at 185.42 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 185.42 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0a6af41f-7628-4ff3-bf84-1d3921b6b238))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:SP485") (at 287.02 69.85 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 72eb8031-447e-41e3-aaa5-59f792bf0a9e)
+    (property "Reference" "U8" (id 0) (at 289.0394 55.9902 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "SP485" (id 1) (at 289.0394 58.5271 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 287.02 87.63 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 287.02 68.58 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ae6839cd-d76e-41ae-9d9d-d53496654de7))
+    (pin "2" (uuid 5a0d2da8-4bb5-4a74-b5da-474a571f78e2))
+    (pin "3" (uuid 57bb90af-663a-41d6-b400-0d4f24259321))
+    (pin "4" (uuid eecc54f1-353d-4bbc-bb3a-293df7de7d03))
+    (pin "5" (uuid 4e3ce3b2-0062-4894-90c9-d123f1516483))
+    (pin "6" (uuid b270f771-721b-49fe-aa42-63a5cebe1f4d))
+    (pin "7" (uuid ab43ca15-9c06-47f9-b257-1383a2b21523))
+    (pin "8" (uuid b816ca3f-a882-4972-80a7-12605138b1a3))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 116.84 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 76379fa9-c05e-4e04-ac01-4d076e8e60f0)
+    (property "Reference" "R8" (id 0) (at 116.84 149.86 90))
+    (property "Value" "10k" (id 1) (at 116.84 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 116.84 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 116.84 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 07fd6245-7f43-464f-b598-1e2f8ff639f8))
+    (pin "2" (uuid fb53a430-5544-449d-bdce-a9af0f8bff78))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 378.46 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 84f80a6c-ed07-4eab-8340-2ba6dd529bea)
+    (property "Reference" "#PWR045" (id 0) (at 378.46 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 378.46 212.3242 0))
+    (property "Footprint" "" (id 2) (at 378.46 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 378.46 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b98e6d09-1cbf-43f1-948a-d86b2b6ed62c))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 119.38 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 852dd492-fde8-47cf-b19d-30bcba755e94)
+    (property "Reference" "R9" (id 0) (at 119.38 149.86 90))
+    (property "Value" "10k" (id 1) (at 119.38 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 119.38 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 119.38 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 4d3d2398-3e46-4779-b629-7e35a8ddeaf9))
+    (pin "2" (uuid 5c577821-309b-4194-a3ca-fd61ebdacaf0))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 215.9 50.8 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 85829c2c-f98b-4d41-b319-c68a4664897f)
+    (property "Reference" "#PWR05" (id 0) (at 215.9 54.61 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 215.9 47.2242 0))
+    (property "Footprint" "" (id 2) (at 215.9 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 215.9 50.8 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f5c5783c-d423-4268-9b72-c86f51d76f59))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 200.66 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 86f982ec-ac8d-466a-8d96-9452ee87ba77)
+    (property "Reference" "#PWR059" (id 0) (at 200.66 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 200.66 138.6642 0))
+    (property "Footprint" "" (id 2) (at 200.66 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 200.66 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8cad77c4-5ca4-46f8-b4b0-1e711f815956))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 172.72 63.5 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid 8c3400d7-e8a7-44a6-8a0d-eb85ee8686d0)
+    (property "Reference" "U3" (id 0) (at 175.26 60.96 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 172.085 70.6073 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 172.72 63.5 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 172.72 63.5 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid bf492102-b19a-48e9-b233-d0f526959bf1))
+    (pin "8" (uuid 025d43e2-ba40-4816-b1ab-a33ea444538f))
+    (pin "1" (uuid 9db95484-246d-4766-b1f4-20270ec626f9))
+    (pin "2" (uuid a498fe62-ee3e-4058-8ebb-0632196deba8))
+    (pin "6" (uuid 10450a7b-a3f3-493a-bae4-89dae4bca570))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:SP485") (at 287.02 166.37 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 91ccb427-348e-4935-bc6f-060bf5b67166)
+    (property "Reference" "U10" (id 0) (at 289.0394 152.5102 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "SP485" (id 1) (at 289.0394 155.0471 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 287.02 184.15 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 287.02 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid c62ee005-9f43-45eb-9ba8-2014c75b53e9))
+    (pin "2" (uuid df044b3e-2427-41c9-9a46-27bc5bbacf6f))
+    (pin "3" (uuid 6a75b3f8-84c3-4fdc-a730-822667504955))
+    (pin "4" (uuid acacc0ee-e9f3-4c52-bdc7-0c5355f185c5))
+    (pin "5" (uuid bd39a16d-3e34-4307-b29d-d1080e5845de))
+    (pin "6" (uuid 77ecd206-df40-493c-9440-929851e72f00))
+    (pin "7" (uuid dd4a6f3e-0bb0-434c-b292-098cf15d078e))
+    (pin "8" (uuid c7421703-3b90-467b-a281-11d26d08408c))
+  )
+
+  (symbol (lib_id "power:GND") (at 119.38 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 9ce21ac8-baf9-4e4d-bd25-b7053cb55c7e)
+    (property "Reference" "#PWR038" (id 0) (at 119.38 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 119.38 233.0434 0))
+    (property "Footprint" "" (id 2) (at 119.38 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 119.38 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 04c69a18-4ff1-4361-974f-837cc1a7ae9e))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 109.22 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid 9e0eab30-6499-4697-be3c-63ed32c79aae)
+    (property "Reference" "C5" (id 0) (at 106.8959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 106.8959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 109.22 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 109.22 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 2c114e2a-0c78-4e44-ae2d-82f7c1f7c97b))
+    (pin "2" (uuid 911f21f0-9a60-4c5b-aa94-760abc265a0f))
+  )
+
+  (symbol (lib_id "74xGxx:74LVC2G125") (at 170.18 83.82 0) (mirror y) (unit 2)
+    (in_bom yes) (on_board yes)
+    (uuid a0795657-8926-4456-8f97-fd74dc222aaa)
+    (property "Reference" "U2" (id 0) (at 175.26 81.28 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "74LVC2G125" (id 1) (at 170.815 90.9273 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Package_SO:TSSOP-8_3x3mm_P0.65mm" (id 2) (at 170.18 83.82 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf" (id 3) (at 170.18 83.82 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "4" (uuid 247784a2-51c4-4267-aed6-8e861a08ad52))
+    (pin "8" (uuid a80f3e8f-9c85-4646-a04f-381c8aa06464))
+    (pin "3" (uuid 6c2017a5-692c-4da0-8b7b-67bebb9c7e85))
+    (pin "5" (uuid 7c28e670-b242-40e1-8428-310b8d466591))
+    (pin "7" (uuid 3ef4ef61-afaa-4718-8d33-ee2c63169e72))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 111.76 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid a0c992ea-8c8c-470e-ad1b-79d41380f38f)
+    (property "Reference" "R6" (id 0) (at 111.76 149.86 90))
+    (property "Value" "10k" (id 1) (at 111.76 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 111.76 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 111.76 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid dfcbde97-7ed1-494e-9653-c1bf89655bd2))
+    (pin "2" (uuid a4b9b0fc-fbe7-40d4-b2a8-474bb4dde601))
+  )
+
+  (symbol (lib_id "power:VCC") (at 88.9 66.04 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid a292251c-0ea1-46a8-8b8f-a60d7799bb95)
+    (property "Reference" "#PWR02" (id 0) (at 88.9 69.85 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "VCC" (id 1) (at 88.9 62.4642 0))
+    (property "Footprint" "" (id 2) (at 88.9 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 88.9 66.04 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 97aadb15-ffc8-419e-91a3-9827b010442d))
+  )
+
+  (symbol (lib_id "power:GND") (at 40.64 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a3994a12-8360-41fa-9b32-f5232a183a02)
+    (property "Reference" "#PWR0101" (id 0) (at 40.64 173.99 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 40.64 172.0834 0))
+    (property "Footprint" "" (id 2) (at 40.64 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 40.64 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8bf2a56d-8ca0-491c-a473-a15b46ce9d1e))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 109.22 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a80ade70-8648-4219-8636-61cb026c9f71)
+    (property "Reference" "#PWR031" (id 0) (at 109.22 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 109.22 214.8642 0))
+    (property "Footprint" "" (id 2) (at 109.22 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 109.22 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 5087bf72-b972-497b-bf80-a27ca3cb04e2))
+  )
+
+  (symbol (lib_id "power:GND") (at 78.74 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a881f2c7-afda-4531-b97d-14473bb55817)
+    (property "Reference" "#PWR034" (id 0) (at 78.74 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 78.74 233.0434 0))
+    (property "Footprint" "" (id 2) (at 78.74 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 78.74 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ed7b8436-c5d4-4930-b701-f7a6723b190f))
+  )
+
+  (symbol (lib_id "power:GND") (at 66.04 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid a8bcad25-40c3-421c-a852-f2c7a9f22e7b)
+    (property "Reference" "#PWR0104" (id 0) (at 66.04 173.99 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 66.04 172.0834 0))
+    (property "Footprint" "" (id 2) (at 66.04 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 66.04 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 083cdd35-1aff-41a3-b6da-f94714afe228))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 370.84 121.92 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid a9c95a60-06c7-4a45-b110-b16148166e07)
+    (property "Reference" "F2" (id 0) (at 367.03 120.65 90))
+    (property "Value" "300mA" (id 1) (at 370.84 121.92 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 375.92 120.65 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 370.84 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid dd4ce6a2-7f6c-487c-8d6a-bd576d75285d))
+    (pin "2" (uuid 71102aad-89bd-4b49-8efc-2aae6d8ad3d1))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 276.86 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ac0fbefd-e7dd-4434-9fef-cd2288db78bb)
+    (property "Reference" "#PWR047" (id 0) (at 276.86 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 276.86 233.0434 0))
+    (property "Footprint" "" (id 2) (at 276.86 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 276.86 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 33b6ec66-9206-4a89-b6c6-9d914925b25b))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 370.84 124.46 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid af8d14ee-39e9-4785-ae0c-6b90163ddde7)
+    (property "Reference" "F4" (id 0) (at 367.03 123.19 90))
+    (property "Value" "300mA" (id 1) (at 370.84 124.46 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 375.92 123.19 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 370.84 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3a77baed-e107-4956-ab22-fc2a4a464910))
+    (pin "2" (uuid c00d08bd-dac9-4916-a5d4-eb6b6b0b058a))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 287.02 105.41 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid b266cb1e-f66f-4747-a1c8-f94540985ebe)
+    (property "Reference" "#PWR021" (id 0) (at 287.02 109.22 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 287.02 101.8342 0))
+    (property "Footprint" "" (id 2) (at 287.02 105.41 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 105.41 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b06e56ca-8204-4746-8dfa-99885562776b))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 200.66 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid b3352b3b-c519-4713-b556-66f6b26e64ff)
+    (property "Reference" "R11" (id 0) (at 200.66 149.86 90))
+    (property "Value" "10k" (id 1) (at 200.66 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 200.66 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 200.66 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a43db5f1-5a50-4020-a2ab-4b1cb1279f33))
+    (pin "2" (uuid 940194c3-a19f-4a76-9cab-bf26a1bf5df6))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 299.72 167.64 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid b7269c93-b543-4757-ac4b-fdc20e982f00)
+    (property "Reference" "R3" (id 0) (at 301.2186 166.8053 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "120R" (id 1) (at 301.2186 169.3422 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 299.72 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 299.72 167.64 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a88a8e40-7d32-4cfa-a4ce-e758e46ad94f))
+    (pin "2" (uuid 43caede6-64fb-4559-ba9a-fa8fc7c626ea))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 378.46 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid b7cd067b-8ec2-49ed-a3a4-2b30c9f18990)
+    (property "Reference" "C15" (id 0) (at 376.1359 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 376.1359 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 378.46 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 378.46 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 798a9886-8e56-4719-a0a5-5226e0a638fa))
+    (pin "2" (uuid e69d3b82-5c6c-4e85-9df6-81f7eab030c3))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 215.9 88.9 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid b7e101ce-623b-4ea1-9115-bb26f6699577)
+    (property "Reference" "#PWR07" (id 0) (at 215.9 95.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 215.9 93.3434 0))
+    (property "Footprint" "" (id 2) (at 215.9 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 215.9 88.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 02ccab89-29a3-4a08-ab00-f0682a48c303))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 378.46 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid bad349ec-b0bd-451c-8ce3-d70176190b7b)
+    (property "Reference" "#PWR056" (id 0) (at 378.46 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 378.46 233.0434 0))
+    (property "Footprint" "" (id 2) (at 378.46 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 378.46 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8800a389-cdaa-4c25-add4-62fa98e67929))
+  )
+
+  (symbol (lib_id "power:GND") (at 83.82 182.88 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid bbf66c0a-51b1-4f41-8b9f-457ed863d877)
+    (property "Reference" "#PWR015" (id 0) (at 83.82 189.23 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 83.82 187.3234 0))
+    (property "Footprint" "" (id 2) (at 83.82 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 83.82 182.88 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid bcf1c0e4-f60a-4fa7-9cce-cf201281be86))
+  )
+
+  (symbol (lib_id "Interface_Expansion:TCA9534") (at 96.52 162.56 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid bf733eb6-de1f-497a-b175-cebba0a1b4fb)
+    (property "Reference" "U6" (id 0) (at 98.5394 147.4302 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "TCA9534" (id 1) (at 98.5394 149.9671 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm" (id 2) (at 120.65 176.53 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tca9534.pdf" (id 3) (at 99.06 165.1 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 7379f6f4-2b4c-4882-a293-d78e1c79e351))
+    (pin "10" (uuid 9d4774f7-3d11-40bd-a233-40a1e4091931))
+    (pin "11" (uuid 1ab1a24c-ccfd-4e86-bafd-1cdcfe702a8c))
+    (pin "12" (uuid f6be1086-9daa-4216-98f9-adb0b85ac31d))
+    (pin "13" (uuid d6410ce7-f358-4b5e-99c4-f104d6ccb0b9))
+    (pin "14" (uuid a103831a-5a8a-4886-aa57-f8b2b69f26ae))
+    (pin "15" (uuid 7749be9c-17a6-4091-9298-3d5fa8df10ec))
+    (pin "16" (uuid 3bcb06a4-6607-4f1e-a0cd-7aa6ae9163ca))
+    (pin "2" (uuid ae5c7ba1-7d72-4997-abbd-363af221dee8))
+    (pin "3" (uuid 035e857e-4229-4b2f-a231-34a55e5c94b9))
+    (pin "4" (uuid 713490c4-50b3-49fa-b5fa-e84a027fd73f))
+    (pin "5" (uuid e31c178c-5a71-477d-9fce-94adab0d6b1a))
+    (pin "6" (uuid 05cf9b9f-579d-497d-8747-018b35366461))
+    (pin "7" (uuid 9f4e2583-fe02-42f1-a7bb-da8beaf76830))
+    (pin "8" (uuid 79012a1e-8a2c-4ecd-9724-b60b6c238cc8))
+    (pin "9" (uuid 39bb7bf2-b45f-454c-a735-2c11b0e24c5b))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:Si8400") (at 152.4 132.08 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c3a7e8e7-d23b-4d26-a771-2af366126261)
+    (property "Reference" "U5" (id 0) (at 152.4 123.3002 0))
+    (property "Value" "Si8400" (id 1) (at 152.4 125.8371 0))
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 152.4 140.97 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/Si840x.pdf" (id 3) (at 152.4 130.81 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 08bc46b8-abf7-43f4-9e66-161057353624))
+    (pin "2" (uuid 9216f0b9-7a9d-4ec0-8b2d-ce53f949d341))
+    (pin "3" (uuid 12b2b92e-584b-44d7-ae89-3779f7c8760d))
+    (pin "4" (uuid 941fb555-5f73-4fdd-ac96-38eb2560c4f5))
+    (pin "5" (uuid 680c1564-0a06-474e-9505-f9b05b5855b3))
+    (pin "6" (uuid d81a4665-db33-417e-b398-5660dd878817))
+    (pin "7" (uuid 5358cb8d-88e6-40a4-9c25-c976a8e34997))
+    (pin "8" (uuid 3309dc89-14f6-4b54-979f-a773d943f978))
+  )
+
+  (symbol (lib_id "Regulator_Linear:MC78M05_TO252") (at 297.18 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c63e0ada-76e8-4bdc-b1f5-6b53dee96d5a)
+    (property "Reference" "U11" (id 0) (at 297.18 212.8352 0))
+    (property "Value" "MC78M05_TO252" (id 1) (at 297.18 215.3721 0))
+    (property "Footprint" "Package_TO_SOT_SMD:TO-252-2" (id 2) (at 297.18 212.725 0)
+      (effects (font (size 1.27 1.27) italic) hide)
+    )
+    (property "Datasheet" "https://www.onsemi.com/pub/Collateral/MC78M00-D.PDF" (id 3) (at 297.18 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f3e63a42-302d-4e44-a1f0-c31c754cf5ff))
+    (pin "2" (uuid 909ecdf0-88ad-4d1d-93ca-90cc09e88fb9))
+    (pin "3" (uuid 24f9edb4-d7a1-4af7-a04f-3a64d9fa9d6e))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 109.22 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c71a1c1b-9dcd-48ff-afbf-de4ad76e3ef1)
+    (property "Reference" "#PWR058" (id 0) (at 109.22 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 109.22 138.6642 0))
+    (property "Footprint" "" (id 2) (at 109.22 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 109.22 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e645f691-0db4-432f-8c2a-7ad480a2d1dc))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 119.38 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c95580a6-a0d5-4f4b-a463-f12bb798ae9d)
+    (property "Reference" "C6" (id 0) (at 117.0559 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 117.0559 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 119.38 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 119.38 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 4f6a56fd-4f07-4e13-bc85-e62a89547d26))
+    (pin "2" (uuid 7354a03a-4122-4462-ae7f-e3c2816630dc))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 68.58 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid c9765482-ae7f-4c87-a95a-8890374f521a)
+    (property "Reference" "#PWR027" (id 0) (at 68.58 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 68.58 214.8642 0))
+    (property "Footprint" "" (id 2) (at 68.58 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 68.58 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 90fc1492-49ac-4461-89bd-fb48dfc3433c))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 337.82 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid cdc9edc8-7bbc-4f10-94cc-a31c71e81e1b)
+    (property "Reference" "C11" (id 0) (at 335.4959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 335.4959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 337.82 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 337.82 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid cdba9cc4-d2a0-4c88-8319-b8ed21d7144e))
+    (pin "2" (uuid c388613f-9847-4594-a58d-35c8dfcfde5b))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 78.74 218.44 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid cf360ec9-ba50-4316-9c5f-a7fa2785f9e7)
+    (property "Reference" "#PWR028" (id 0) (at 78.74 222.25 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 78.74 214.8642 0))
+    (property "Footprint" "" (id 2) (at 78.74 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 78.74 218.44 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 7746a087-d19c-49ed-80d3-0088bd015e07))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 287.02 87.63 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid cfa41c51-82f9-4977-8885-55608c93e784)
+    (property "Reference" "#PWR020" (id 0) (at 287.02 93.98 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 287.02 92.0734 0))
+    (property "Footprint" "" (id 2) (at 287.02 87.63 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 287.02 87.63 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f6c1f83b-46cf-41ee-951e-438a7256145e))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 337.82 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d23ea97d-5f2e-416b-a810-9b1c0ed55c39)
+    (property "Reference" "#PWR052" (id 0) (at 337.82 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 337.82 233.0434 0))
+    (property "Footprint" "" (id 2) (at 337.82 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 337.82 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 1d318f8f-a297-4e68-aeb4-5763d73874be))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 347.98 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d46b1e87-7942-4b99-8d91-cf6c316df13f)
+    (property "Reference" "C12" (id 0) (at 345.6559 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 345.6559 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 347.98 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 347.98 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid d83c6eb0-9725-4b57-b6f3-5af2111502a6))
+    (pin "2" (uuid 9d193211-bf8e-4eb3-a342-3f8874a6a701))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 307.34 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d4d1351b-e262-4f90-bcb7-2efe4cec8bad)
+    (property "Reference" "C9" (id 0) (at 309.6641 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "100n" (id 1) (at 309.6641 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 307.34 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 307.34 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 2e7fe76f-d4fc-493c-90c1-a848d3464220))
+    (pin "2" (uuid 78bfc133-a3c9-4c59-a190-bc033d3dd715))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 299.72 71.12 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid d72c9799-a3a8-4751-8eb1-6ad1db1ffa3c)
+    (property "Reference" "R1" (id 0) (at 301.2186 70.2853 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "120R" (id 1) (at 301.2186 72.8222 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 299.72 71.12 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 299.72 71.12 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a8088b52-c293-4ad7-a9a6-a30d31fcea59))
+    (pin "2" (uuid 3d4a6819-c532-4313-8140-f4223cbb1d1e))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 368.3 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid dd8a0162-6d48-4246-a1a0-adf487acead4)
+    (property "Reference" "#PWR044" (id 0) (at 368.3 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 368.3 212.3242 0))
+    (property "Footprint" "" (id 2) (at 368.3 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 368.3 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 3fc2df54-a063-4549-8639-031f3c7627e9))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 388.62 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid e19fa6af-6b09-45a1-8dd5-c358644d525f)
+    (property "Reference" "#PWR057" (id 0) (at 388.62 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 388.62 233.0434 0))
+    (property "Footprint" "" (id 2) (at 388.62 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 388.62 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 1991e45c-7107-4a9d-a8e8-3cd515bd241e))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 121.92 149.86 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid e2d99e22-1fca-4957-ad42-32c2a1e74969)
+    (property "Reference" "R10" (id 0) (at 121.92 149.86 90))
+    (property "Value" "10k" (id 1) (at 121.92 154.94 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 121.92 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 121.92 149.86 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid b9d28b7d-f467-4441-866c-df5a82167ab6))
+    (pin "2" (uuid 22135e11-e98f-4264-be14-1739183f7f38))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 358.14 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid e4c38379-0936-4b80-a331-5d9c5a7d135d)
+    (property "Reference" "#PWR054" (id 0) (at 358.14 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 358.14 233.0434 0))
+    (property "Footprint" "" (id 2) (at 358.14 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 358.14 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 41b6a805-13bd-41df-ae0b-e005ed8bd177))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 53.34 147.32 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid e56d8703-9361-4dc6-abbc-0370435d2a62)
+    (property "Reference" "#PWR0103" (id 0) (at 53.34 151.13 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 50.8 147.32 90))
+    (property "Footprint" "" (id 2) (at 53.34 147.32 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 53.34 147.32 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid e3205227-1e3d-4388-818f-951287836e25))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 78.74 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ea3da44b-64e4-4628-8c68-a47f3d2e8a9c)
+    (property "Reference" "C2" (id 0) (at 76.4159 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 76.4159 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 78.74 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 78.74 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 871b9953-a74c-4313-9a7a-12b778d82f89))
+    (pin "2" (uuid 7c2978d0-3426-48bc-95f2-f24d748426e3))
+  )
+
+  (symbol (lib_id "Device:C_Polarized_Small") (at 317.5 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid eb376085-ea92-43a5-b5a1-562f73b2246c)
+    (property "Reference" "C10" (id 0) (at 319.659 222.1392 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "4u7" (id 1) (at 319.659 224.6761 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (id 2) (at 317.5 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 317.5 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a87f742c-1245-470c-8bcd-213a62710e77))
+    (pin "2" (uuid 3d077724-8e04-484e-aa89-9824117d4cf6))
+  )
+
+  (symbol (lib_id "power:GND") (at 68.58 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ef641915-0cdb-48a1-be2a-fdec02ca8d43)
+    (property "Reference" "#PWR033" (id 0) (at 68.58 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GND" (id 1) (at 68.58 233.0434 0))
+    (property "Footprint" "" (id 2) (at 68.58 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 68.58 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a689b31f-f9f6-4650-bc0d-09f338c2f38d))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 299.72 121.92 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f002a3a7-cf84-40c4-bd67-963c1af67713)
+    (property "Reference" "R2" (id 0) (at 301.2186 121.0853 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "120R" (id 1) (at 301.2186 123.6222 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 299.72 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 299.72 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 8e977a68-ce74-4f6c-bd27-1bb7b41e4881))
+    (pin "2" (uuid 8c6ffaf9-0d7e-45fb-b2c3-ea35610b8c83))
+  )
+
+  (symbol (lib_id "icE1usb-rs422:Si8663") (at 210.82 71.12 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f1105a6c-0c26-43c0-bd87-a7307164ea7e)
+    (property "Reference" "U4" (id 0) (at 217.9194 52.1802 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "Si8663" (id 1) (at 217.9194 54.7171 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (id 2) (at 216.535 85.09 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/si866x.pdf" (id 3) (at 220.98 73.66 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid a3c41f23-bae7-453b-91a4-434f3581c94d))
+    (pin "10" (uuid 79741504-1f2b-4094-974c-689492a6bd1a))
+    (pin "11" (uuid 105c86fa-9884-4fad-a69f-0139caa63b60))
+    (pin "12" (uuid 84f23625-82d5-4d51-bf0c-863492d55317))
+    (pin "13" (uuid d30c8658-02e0-48c0-8071-415bb23970ec))
+    (pin "14" (uuid eed4ac7d-c6c0-4bdf-b9c0-2d4e710b94a2))
+    (pin "15" (uuid b0972c7d-25f8-401a-92be-1bc54179268c))
+    (pin "16" (uuid 8c718c35-c183-4a0e-890d-6e260578dfc8))
+    (pin "2" (uuid 41b731e4-48b5-4997-a5b8-e9a38306caf1))
+    (pin "3" (uuid 0fb5633e-a342-4136-bcf9-1f98010ca419))
+    (pin "4" (uuid 5208ce5a-f101-48c2-a90d-b68c1aad66a3))
+    (pin "5" (uuid 5d3c2692-0b24-4a5b-96b8-210814fcf873))
+    (pin "6" (uuid 897e0da8-e62c-4c1a-aa8b-bae3ea560e81))
+    (pin "7" (uuid 619e3306-c53b-4162-9871-3f6b5bfbea63))
+    (pin "8" (uuid dbc851dd-f0af-4bf4-8ea8-cb2ac7cd18a8))
+    (pin "9" (uuid 2679ab7c-70c9-46a5-9cad-eb9494536b63))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 185.42 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f180b6c9-6f33-4ace-8601-a7b95b4537c8)
+    (property "Reference" "#PWR013" (id 0) (at 185.42 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 185.42 138.6642 0))
+    (property "Footprint" "" (id 2) (at 185.42 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 185.42 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 96e0f528-2d35-4f8b-a5e2-2a553c682a78))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 388.62 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f2fc371f-e5a1-47d5-a802-b54c14e93663)
+    (property "Reference" "C16" (id 0) (at 386.2959 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 386.2959 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 388.62 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 388.62 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid cff650b4-f497-4047-93e0-65644f02c3f9))
+    (pin "2" (uuid 80b45c65-d768-4f24-b44c-af0234370376))
+  )
+
+  (symbol (lib_id "Device:R_Small") (at 205.74 175.26 0) (mirror y) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f5169de3-691a-4f33-8a0c-fea8c980189d)
+    (property "Reference" "R16" (id 0) (at 205.74 175.26 90))
+    (property "Value" "10k" (id 1) (at 205.74 180.34 90)
+      (effects (font (size 1.27 1.27)) (justify right) hide)
+    )
+    (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (id 2) (at 205.74 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 205.74 175.26 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid af51c94a-4063-458a-aa61-20190306b926))
+    (pin "2" (uuid 66543b78-e127-4982-b608-ba5505e0b707))
+  )
+
+  (symbol (lib_id "Connector_Generic:Conn_02x04_Odd_Even") (at 358.14 124.46 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f59ee99e-0d59-4645-9027-6900c1022f95)
+    (property "Reference" "J2" (id 0) (at 359.41 116.9502 0))
+    (property "Value" "EXT_OUT" (id 1) (at 359.41 119.4871 0))
+    (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical" (id 2) (at 358.14 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 358.14 124.46 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid eab660b0-3959-4b86-aceb-bc26ac1d6b39))
+    (pin "2" (uuid 352cda21-dedb-4485-a43f-d833b809d07b))
+    (pin "3" (uuid c15966b6-7997-4bb6-ae70-7a748e30c0c5))
+    (pin "4" (uuid 98ba1f83-29b0-4e63-b3fc-78524cf3b016))
+    (pin "5" (uuid 657cd4e0-7159-4348-8b37-b29f731895e1))
+    (pin "6" (uuid 47eda29f-ba76-4d15-a44f-eeef8a11ec18))
+    (pin "7" (uuid e70fb45f-ece6-45b3-afaf-43ec343d86d9))
+    (pin "8" (uuid d210dd2e-83a1-4b12-9886-5ab8beb9e18a))
+  )
+
+  (symbol (lib_id "Device:Polyfuse_Small") (at 345.44 121.92 90) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f5cfbf96-3236-4154-baff-8ffa31ae94a9)
+    (property "Reference" "F1" (id 0) (at 347.98 120.65 90))
+    (property "Value" "300mA" (id 1) (at 345.44 121.92 90)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Footprint" "Fuse:Fuse_0603_1608Metric" (id 2) (at 350.52 120.65 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 345.44 121.92 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 976f3e97-6183-4539-a010-89041c7d8c74))
+    (pin "2" (uuid 6a05cfc7-c306-456d-b99a-fd36f182ef34))
+  )
+
+  (symbol (lib_id "Device:C_Small") (at 99.06 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid f6a1004f-a5f8-44b8-aa3f-11e5a9e4a0e5)
+    (property "Reference" "C4" (id 0) (at 96.7359 222.6916 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "100n" (id 1) (at 96.7359 225.2285 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 99.06 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 99.06 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ac5a7932-71f8-4964-be90-852ebeecd3e5))
+    (pin "2" (uuid 54bfb732-7fe2-4c05-8bd5-67320f125a48))
+  )
+
+  (symbol (lib_id "power:+3V3") (at 96.52 142.24 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid f7e7344d-c52f-4101-bd66-2c91bef32f73)
+    (property "Reference" "#PWR012" (id 0) (at 96.52 146.05 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+3V3" (id 1) (at 96.52 138.6642 0))
+    (property "Footprint" "" (id 2) (at 96.52 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 96.52 142.24 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid f1e6bcd2-6b83-4ea8-af77-93b9e00086ed))
+  )
+
+  (symbol (lib_id "power:+12VA") (at 266.7 215.9 0) (unit 1)
+    (in_bom yes) (on_board yes)
+    (uuid fa7bcdd4-5b4e-46dd-8cae-c05054e89205)
+    (property "Reference" "#PWR039" (id 0) (at 266.7 219.71 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+12VA" (id 1) (at 266.7 212.3242 0))
+    (property "Footprint" "" (id 2) (at 266.7 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 266.7 215.9 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid ae27b2d9-5078-4968-a9c5-24c82db12a60))
+  )
+
+  (symbol (lib_id "power:+5VA") (at 165.1 127 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid fdf81741-7522-44ac-bd1a-9663251e9d45)
+    (property "Reference" "#PWR09" (id 0) (at 165.1 130.81 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "+5VA" (id 1) (at 165.1 123.4242 0))
+    (property "Footprint" "" (id 2) (at 165.1 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 165.1 127 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 9041988e-8213-48cb-a486-81e6b4884b01))
+  )
+
+  (symbol (lib_id "power:GNDA") (at 368.3 228.6 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid fe170394-25aa-4846-a429-3476366aa687)
+    (property "Reference" "#PWR055" (id 0) (at 368.3 234.95 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Value" "GNDA" (id 1) (at 368.3 233.0434 0))
+    (property "Footprint" "" (id 2) (at 368.3 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "" (id 3) (at 368.3 228.6 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid fc4ab9ae-dfda-4e5e-8a3f-043c0d2e4244))
+  )
+
+  (symbol (lib_id "Device:C_Polarized_Small") (at 276.86 223.52 0) (unit 1)
+    (in_bom yes) (on_board yes) (fields_autoplaced)
+    (uuid ff81f0cf-d80e-4f16-9dd5-111ba49e9f46)
+    (property "Reference" "C7" (id 0) (at 274.7011 222.1392 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Value" "10u" (id 1) (at 274.7011 224.6761 0)
+      (effects (font (size 1.27 1.27)) (justify right))
+    )
+    (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A" (id 2) (at 276.86 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "~" (id 3) (at 276.86 223.52 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (pin "1" (uuid 0b5ad33f-a12c-4e5e-b4ae-8db396b3a057))
+    (pin "2" (uuid 0f0c3464-0909-4aa5-acf8-08f655141682))
+  )
+
+  (sheet_instances
+    (path "/" (page "1"))
+  )
+
+  (symbol_instances
+    (path "/6337eec9-06f4-430b-a1a8-2c893c3c0836"
+      (reference "#PWR01") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/a292251c-0ea1-46a8-8b8f-a60d7799bb95"
+      (reference "#PWR02") (unit 1) (value "VCC") (footprint "")
+    )
+    (path "/3b0f90e8-57d9-42d7-85e4-9d338a5720fc"
+      (reference "#PWR03") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/014f1669-ae08-450a-b680-baefaf87ddba"
+      (reference "#PWR04") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/85829c2c-f98b-4d41-b319-c68a4664897f"
+      (reference "#PWR05") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/470e8523-14fd-415f-95ca-b3f2bf949baa"
+      (reference "#PWR06") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/b7e101ce-623b-4ea1-9115-bb26f6699577"
+      (reference "#PWR07") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/30c4ebec-1f18-46e9-9ded-f42496c0f4ab"
+      (reference "#PWR08") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/fdf81741-7522-44ac-bd1a-9663251e9d45"
+      (reference "#PWR09") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/5d59c1fa-bf2f-4879-8e0b-02bc837a7e18"
+      (reference "#PWR010") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/56f00518-05e0-4150-9220-83cbacc15a12"
+      (reference "#PWR011") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/f7e7344d-c52f-4101-bd66-2c91bef32f73"
+      (reference "#PWR012") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/f180b6c9-6f33-4ace-8601-a7b95b4537c8"
+      (reference "#PWR013") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/05d28ea0-5a12-4b12-97a9-e85074515c0a"
+      (reference "#PWR014") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/bbf66c0a-51b1-4f41-8b9f-457ed863d877"
+      (reference "#PWR015") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/4602adbc-fa58-4643-ab33-fa612b443b3b"
+      (reference "#PWR016") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/58a79613-ad24-4858-975c-924f9df4e16e"
+      (reference "#PWR017") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/72aac2b5-a74b-4a61-a8b7-bce8beb3ccc3"
+      (reference "#PWR018") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/5214a3c3-5537-4b4e-9d46-adcb220d0aee"
+      (reference "#PWR019") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/cfa41c51-82f9-4977-8885-55608c93e784"
+      (reference "#PWR020") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/b266cb1e-f66f-4747-a1c8-f94540985ebe"
+      (reference "#PWR021") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/100c3c95-47ca-4757-9c32-6a573cc6e1a3"
+      (reference "#PWR022") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/3287ef49-b33d-4013-ada3-83b8c68abec6"
+      (reference "#PWR023") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/0c8f918a-87ac-40a7-a162-8a3ba3a2ceb6"
+      (reference "#PWR024") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/0e0d3540-e1d3-45db-a988-7409b5b5261f"
+      (reference "#PWR025") (unit 1) (value "+12VA") (footprint "")
+    )
+    (path "/178d56f8-3843-4f10-90d1-5d378381e828"
+      (reference "#PWR026") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/c9765482-ae7f-4c87-a95a-8890374f521a"
+      (reference "#PWR027") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/cf360ec9-ba50-4316-9c5f-a7fa2785f9e7"
+      (reference "#PWR028") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/562e6c82-0af5-4762-807f-9116b88f2d90"
+      (reference "#PWR029") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/21877b10-0106-4ed4-8f3d-dd714e0edcfd"
+      (reference "#PWR030") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/a80ade70-8648-4219-8636-61cb026c9f71"
+      (reference "#PWR031") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/28090229-3ceb-450f-bab7-7afd95c45aa7"
+      (reference "#PWR032") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/ef641915-0cdb-48a1-be2a-fdec02ca8d43"
+      (reference "#PWR033") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/a881f2c7-afda-4531-b97d-14473bb55817"
+      (reference "#PWR034") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/3df34b4e-4727-47b7-abcd-c92bf61ec68c"
+      (reference "#PWR035") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/62aeff0b-8fbc-43e1-a94c-65b6cfd93f99"
+      (reference "#PWR036") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/3d574036-99e0-44cc-9972-76bca24e59d0"
+      (reference "#PWR037") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/9ce21ac8-baf9-4e4d-bd25-b7053cb55c7e"
+      (reference "#PWR038") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/fa7bcdd4-5b4e-46dd-8cae-c05054e89205"
+      (reference "#PWR039") (unit 1) (value "+12VA") (footprint "")
+    )
+    (path "/60dff287-a533-42f6-8e08-8a32c0a50907"
+      (reference "#PWR040") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/432d3e97-0aab-47b6-9ed1-394040bdf7d0"
+      (reference "#PWR041") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/01cd3ff7-d8a9-423f-8d8f-f12ef272ae89"
+      (reference "#PWR042") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/69fb42f2-7a38-41dd-b0ed-25c5969057e0"
+      (reference "#PWR043") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/dd8a0162-6d48-4246-a1a0-adf487acead4"
+      (reference "#PWR044") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/84f80a6c-ed07-4eab-8340-2ba6dd529bea"
+      (reference "#PWR045") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/5bcf7198-2baa-4595-8024-98eb67f162d6"
+      (reference "#PWR046") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/ac0fbefd-e7dd-4434-9fef-cd2288db78bb"
+      (reference "#PWR047") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/375c3623-4d3c-4e78-881d-cdf463807056"
+      (reference "#PWR048") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/21785d01-7b85-4f60-8638-d0fc249190d9"
+      (reference "#PWR049") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/4583899e-4fbe-4758-af88-ed7b9ffa3b7e"
+      (reference "#PWR050") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/438c035b-6d58-4370-a7c0-b80fa7da5fae"
+      (reference "#PWR051") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/d23ea97d-5f2e-416b-a810-9b1c0ed55c39"
+      (reference "#PWR052") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/6b4e705f-7564-4516-98af-d4372beb4519"
+      (reference "#PWR053") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/e4c38379-0936-4b80-a331-5d9c5a7d135d"
+      (reference "#PWR054") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/fe170394-25aa-4846-a429-3476366aa687"
+      (reference "#PWR055") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/bad349ec-b0bd-451c-8ce3-d70176190b7b"
+      (reference "#PWR056") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/e19fa6af-6b09-45a1-8dd5-c358644d525f"
+      (reference "#PWR057") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/c71a1c1b-9dcd-48ff-afbf-de4ad76e3ef1"
+      (reference "#PWR058") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/86f982ec-ac8d-466a-8d96-9452ee87ba77"
+      (reference "#PWR059") (unit 1) (value "+5VA") (footprint "")
+    )
+    (path "/586807b8-aa12-4af2-9a32-0449ba9c7178"
+      (reference "#PWR060") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/385060e0-0fc9-47ee-9079-90ca281e5dd1"
+      (reference "#PWR061") (unit 1) (value "GNDA") (footprint "")
+    )
+    (path "/a3994a12-8360-41fa-9b32-f5232a183a02"
+      (reference "#PWR0101") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/1c62d1f4-92a3-4d5a-9684-4f720ce7c499"
+      (reference "#PWR0102") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/e56d8703-9361-4dc6-abbc-0370435d2a62"
+      (reference "#PWR0103") (unit 1) (value "+3V3") (footprint "")
+    )
+    (path "/a8bcad25-40c3-421c-a852-f2c7a9f22e7b"
+      (reference "#PWR0104") (unit 1) (value "GND") (footprint "")
+    )
+    (path "/370d7aea-c5df-44d3-84d7-e54ffd20bce3"
+      (reference "C1") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/ea3da44b-64e4-4628-8c68-a47f3d2e8a9c"
+      (reference "C2") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/175b6bba-723c-4c12-977b-5d1ec581c631"
+      (reference "C3") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/f6a1004f-a5f8-44b8-aa3f-11e5a9e4a0e5"
+      (reference "C4") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/9e0eab30-6499-4697-be3c-63ed32c79aae"
+      (reference "C5") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/c95580a6-a0d5-4f4b-a463-f12bb798ae9d"
+      (reference "C6") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/ff81f0cf-d80e-4f16-9dd5-111ba49e9f46"
+      (reference "C7") (unit 1) (value "10u") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A")
+    )
+    (path "/70cef4b1-374f-4188-a820-7b0fcd00b427"
+      (reference "C8") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/d4d1351b-e262-4f90-bcb7-2efe4cec8bad"
+      (reference "C9") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/eb376085-ea92-43a5-b5a1-562f73b2246c"
+      (reference "C10") (unit 1) (value "4u7") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A")
+    )
+    (path "/cdc9edc8-7bbc-4f10-94cc-a31c71e81e1b"
+      (reference "C11") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/d46b1e87-7942-4b99-8d91-cf6c316df13f"
+      (reference "C12") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/0972fc5a-7164-4601-b8b1-52f8753cce7c"
+      (reference "C13") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/38546697-4fdb-4498-8b17-5aa799e1c53e"
+      (reference "C14") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/b7cd067b-8ec2-49ed-a3a4-2b30c9f18990"
+      (reference "C15") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/f2fc371f-e5a1-47d5-a802-b54c14e93663"
+      (reference "C16") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric")
+    )
+    (path "/f5cfbf96-3236-4154-baff-8ffa31ae94a9"
+      (reference "F1") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/a9c95a60-06c7-4a45-b110-b16148166e07"
+      (reference "F2") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/6c19c75a-f2e7-4eec-bdac-75ad271b3be1"
+      (reference "F3") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/af8d14ee-39e9-4785-ae0c-6b90163ddde7"
+      (reference "F4") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/2cc3c96b-e4a9-4807-a309-5cb64c75a1fb"
+      (reference "F5") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/4218b289-c8bc-40ed-a633-54c36234cde1"
+      (reference "F6") (unit 1) (value "300mA") (footprint "Fuse:Fuse_0603_1608Metric")
+    )
+    (path "/30e215e6-8ba1-4d4e-a4f7-4ddb4064f495"
+      (reference "J1") (unit 1) (value "EXT_IN") (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical")
+    )
+    (path "/f59ee99e-0d59-4645-9027-6900c1022f95"
+      (reference "J2") (unit 1) (value "EXT_OUT") (footprint "Connector_PinSocket_2.54mm:PinSocket_2x04_P2.54mm_Vertical")
+    )
+    (path "/d72c9799-a3a8-4751-8eb1-6ad1db1ffa3c"
+      (reference "R1") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/f002a3a7-cf84-40c4-bd67-963c1af67713"
+      (reference "R2") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/b7269c93-b543-4757-ac4b-fdc20e982f00"
+      (reference "R3") (unit 1) (value "120R") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/14c9362c-bdee-41d4-8509-e74a37ac2991"
+      (reference "R4") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/3b5c4058-7a13-472c-8998-684becebf99a"
+      (reference "R5") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/a0c992ea-8c8c-470e-ad1b-79d41380f38f"
+      (reference "R6") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/5b234ca9-2d03-4a23-bde4-9e56846a4c88"
+      (reference "R7") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/76379fa9-c05e-4e04-ac01-4d076e8e60f0"
+      (reference "R8") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/852dd492-fde8-47cf-b19d-30bcba755e94"
+      (reference "R9") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/e2d99e22-1fca-4957-ad42-32c2a1e74969"
+      (reference "R10") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/b3352b3b-c519-4713-b556-66f6b26e64ff"
+      (reference "R11") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/4b1cc371-f92b-48fe-b65f-234306fabc06"
+      (reference "R12") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/32c94a20-5c46-4e34-98fa-24a4f70995b6"
+      (reference "R13") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/5ce7f881-e281-4738-b8ef-9071b387f31d"
+      (reference "R14") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/05e6fc07-ec20-47dc-8c98-fb39870e0f88"
+      (reference "R15") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/f5169de3-691a-4f33-8a0c-fea8c980189d"
+      (reference "R16") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric")
+    )
+    (path "/1009c53b-d5a6-4d41-bc74-1567298b8f04"
+      (reference "U1") (unit 1) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/1241c5c8-3bf9-4ac1-a0e7-c680388dae3e"
+      (reference "U1") (unit 2) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/53eb902d-7a32-4c8a-b250-d4047ea9a4e1"
+      (reference "U2") (unit 1) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/a0795657-8926-4456-8f97-fd74dc222aaa"
+      (reference "U2") (unit 2) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/8c3400d7-e8a7-44a6-8a0d-eb85ee8686d0"
+      (reference "U3") (unit 1) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/619bdb41-b897-4fb9-bc8a-bee824445120"
+      (reference "U3") (unit 2) (value "74LVC2G125") (footprint "Package_SO:TSSOP-8_3x3mm_P0.65mm")
+    )
+    (path "/f1105a6c-0c26-43c0-bd87-a7307164ea7e"
+      (reference "U4") (unit 1) (value "Si8663") (footprint "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm")
+    )
+    (path "/c3a7e8e7-d23b-4d26-a771-2af366126261"
+      (reference "U5") (unit 1) (value "Si8400") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/bf733eb6-de1f-497a-b175-cebba0a1b4fb"
+      (reference "U6") (unit 1) (value "TCA9534") (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm")
+    )
+    (path "/38908880-dacf-469d-843a-1aa389d299dd"
+      (reference "U7") (unit 1) (value "TCA9534") (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm")
+    )
+    (path "/72eb8031-447e-41e3-aaa5-59f792bf0a9e"
+      (reference "U8") (unit 1) (value "SP485") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/65886466-a3a0-44a6-bd3e-eb8f54898fb1"
+      (reference "U9") (unit 1) (value "SP485") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/91ccb427-348e-4935-bc6f-060bf5b67166"
+      (reference "U10") (unit 1) (value "SP485") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+    (path "/c63e0ada-76e8-4bdc-b1f5-6b53dee96d5a"
+      (reference "U11") (unit 1) (value "MC78M05_TO252") (footprint "Package_TO_SOT_SMD:TO-252-2")
+    )
+    (path "/14bf1363-1bc6-4399-8dad-4a6ed70cadf6"
+      (reference "U12") (unit 1) (value "M24C02-FMN") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
+    )
+  )
+)
diff --git a/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_sym b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_sym
new file mode 100644
index 0000000..fcd0ccb
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/icE1usb-rs422.kicad_sym
@@ -0,0 +1,549 @@
+(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
+  (symbol "SP485" (in_bom yes) (on_board yes)
+    (property "Reference" "U" (id 0) (at -6.096 11.43 0)
+      (effects (font (size 1.27 1.27)))
+    )
+    (property "Value" "SP485" (id 1) (at 0.762 11.43 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "" (id 2) (at 0 -17.78 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://assets.maxlinear.com/web/documents/sp483_sp485.pdf" (id 3) (at 0 1.27 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_keywords" "rs485 rs422" (id 4) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_description" "Low Power Half-Duplex RS-485 Transceivers" (id 5) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (symbol "SP485_0_1"
+      (rectangle (start -7.62 10.16) (end 7.62 -12.7)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type background))
+      )
+      (circle (center -0.3048 -3.683) (radius 0.3556)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (circle (center -0.0254 1.4986) (radius 0.3556)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (polyline
+        (pts
+          (xy -4.064 -5.08)
+          (xy -1.905 -5.08)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -4.064 2.54)
+          (xy -1.27 2.54)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 -3.2004)
+          (xy -1.27 -3.4544)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -0.635 -5.08)
+          (xy 5.334 -5.08)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -4.064 -2.54)
+          (xy -1.27 -2.54)
+          (xy -1.27 -3.175)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 1.27)
+          (xy 0 0)
+          (xy -4.064 0)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 3.175)
+          (xy 3.81 3.175)
+          (xy 3.81 -5.08)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 2.54 1.905)
+          (xy 2.54 -3.81)
+          (xy 0 -3.81)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.905 -3.175)
+          (xy -1.905 -5.715)
+          (xy 0.635 -4.445)
+          (xy -1.905 -3.175)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 2.54)
+          (xy 1.27 3.81)
+          (xy 1.27 1.27)
+          (xy -1.27 2.54)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 1.905)
+          (xy 4.445 1.905)
+          (xy 4.445 2.54)
+          (xy 5.334 2.54)
+        )
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (rectangle (start 1.27 3.175) (end 1.27 3.175)
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (circle (center 1.651 1.905) (radius 0.3556)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+    )
+    (symbol "SP485_1_1"
+      (pin output line (at -10.16 2.54 0) (length 2.54)
+        (name "RO" (effects (font (size 1.27 1.27))))
+        (number "1" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 0 0) (length 2.54)
+        (name "~{RE}" (effects (font (size 1.27 1.27))))
+        (number "2" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 -2.54 0) (length 2.54)
+        (name "DE" (effects (font (size 1.27 1.27))))
+        (number "3" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 -5.08 0) (length 2.54)
+        (name "DI" (effects (font (size 1.27 1.27))))
+        (number "4" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 0 -15.24 90) (length 2.54)
+        (name "GND" (effects (font (size 1.27 1.27))))
+        (number "5" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 -5.08 180) (length 2.54)
+        (name "A" (effects (font (size 1.27 1.27))))
+        (number "6" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 2.54 180) (length 2.54)
+        (name "B" (effects (font (size 1.27 1.27))))
+        (number "7" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 0 12.7 270) (length 2.54)
+        (name "VCC" (effects (font (size 1.27 1.27))))
+        (number "8" (effects (font (size 1.27 1.27))))
+      )
+    )
+  )
+  (symbol "Si8400" (in_bom yes) (on_board yes)
+    (property "Reference" "U" (id 0) (at -6.35 6.35 0)
+      (effects (font (size 1.27 1.27)))
+    )
+    (property "Value" "Si8400" (id 1) (at 3.81 6.35 0)
+      (effects (font (size 1.27 1.27)))
+    )
+    (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 0 -8.89 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/Si840x.pdf" (id 3) (at 0 1.27 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_keywords" "digital isolator i2c" (id 4) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_description" "I2C Isolator, 2.5 kVrms, Bidirectional clock and data, SOIC-8" (id 5) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_fp_filters" "SOIC*3.9x4.9mm*P1.27mm*" (id 6) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (symbol "Si8400_0_1"
+      (rectangle (start -7.62 5.08) (end 7.62 -7.62)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type background))
+      )
+      (rectangle (start 0 -5.08) (end 0 -6.35)
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 -2.54)
+          (xy 0 -3.81)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 0)
+          (xy 0 -1.27)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 2.54)
+          (xy 0 1.27)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0 5.08)
+          (xy 0 3.81)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -0.635 0.635)
+          (xy -1.27 0)
+          (xy -0.635 -0.635)
+          (xy -0.635 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (polyline
+        (pts
+          (xy 0.635 -1.905)
+          (xy 1.27 -2.54)
+          (xy 0.635 -3.175)
+          (xy 0.635 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (polyline
+        (pts
+          (xy 0.635 0.635)
+          (xy 1.27 0)
+          (xy 0.635 -0.635)
+          (xy 0.635 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+    )
+    (symbol "Si8400_1_1"
+      (polyline
+        (pts
+          (xy -0.635 -1.905)
+          (xy -1.27 -2.54)
+          (xy -0.635 -3.175)
+          (xy -0.635 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type outline))
+      )
+      (pin power_in line (at -10.16 2.54 0) (length 2.54)
+        (name "VCC1" (effects (font (size 1.27 1.27))))
+        (number "1" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at -10.16 0 0) (length 2.54)
+        (name "SDA1" (effects (font (size 1.27 1.27))))
+        (number "2" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at -10.16 -2.54 0) (length 2.54)
+        (name "SCL1" (effects (font (size 1.27 1.27))))
+        (number "3" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at -10.16 -5.08 0) (length 2.54)
+        (name "GND1" (effects (font (size 1.27 1.27))))
+        (number "4" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 10.16 -5.08 180) (length 2.54)
+        (name "GND2" (effects (font (size 1.27 1.27))))
+        (number "5" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 -2.54 180) (length 2.54)
+        (name "SCL2" (effects (font (size 1.27 1.27))))
+        (number "6" (effects (font (size 1.27 1.27))))
+      )
+      (pin bidirectional line (at 10.16 0 180) (length 2.54)
+        (name "SDA2" (effects (font (size 1.27 1.27))))
+        (number "7" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 10.16 2.54 180) (length 2.54)
+        (name "VCC2" (effects (font (size 1.27 1.27))))
+        (number "8" (effects (font (size 1.27 1.27))))
+      )
+    )
+  )
+  (symbol "Si8663" (in_bom yes) (on_board yes)
+    (property "Reference" "U" (id 0) (at 8.255 14.605 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Value" "Si8663" (id 1) (at 8.255 12.065 0)
+      (effects (font (size 1.27 1.27)) (justify left))
+    )
+    (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (id 2) (at 5.715 -13.97 0)
+      (effects (font (size 1.27 1.27)) (justify left) hide)
+    )
+    (property "Datasheet" "https://www.skyworksinc.com/-/media/SkyWorks/SL/documents/public/data-sheets/si866x.pdf" (id 3) (at 10.16 -2.54 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_keywords" "6 channel digital isolator" (id 4) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_description" "Low Power Six-Channel Digital Isolator" (id 5) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (property "ki_fp_filters" "SSOP*3.9x4.9mm*P0.635mm*" (id 6) (at 0 0 0)
+      (effects (font (size 1.27 1.27)) hide)
+    )
+    (symbol "Si8663_0_1"
+      (rectangle (start -7.62 15.24) (end 7.62 -12.7)
+        (stroke (width 0.254) (type default) (color 0 0 0 0))
+        (fill (type background))
+      )
+      (polyline
+        (pts
+          (xy -0.635 15.24)
+          (xy -0.635 -12.7)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 0.635 15.24)
+          (xy 0.635 -12.7)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.905 8.255)
+          (xy -1.27 7.62)
+          (xy -1.905 6.985)
+          (xy -1.905 8.255)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 8.255)
+          (xy 1.905 7.62)
+          (xy 1.27 6.985)
+          (xy 1.27 8.255)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+    )
+    (symbol "Si8663_1_1"
+      (polyline
+        (pts
+          (xy -1.905 3.175)
+          (xy -1.27 2.54)
+          (xy -1.905 1.905)
+          (xy -1.905 3.175)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.905 5.715)
+          (xy -1.27 5.08)
+          (xy -1.905 4.445)
+          (xy -1.905 5.715)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 -4.445)
+          (xy -1.905 -5.08)
+          (xy -1.27 -5.715)
+          (xy -1.27 -4.445)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 -1.905)
+          (xy -1.905 -2.54)
+          (xy -1.27 -3.175)
+          (xy -1.27 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy -1.27 0.635)
+          (xy -1.905 0)
+          (xy -1.27 -0.635)
+          (xy -1.27 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 3.175)
+          (xy 1.905 2.54)
+          (xy 1.27 1.905)
+          (xy 1.27 3.175)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.27 5.715)
+          (xy 1.905 5.08)
+          (xy 1.27 4.445)
+          (xy 1.27 5.715)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 -4.445)
+          (xy 1.27 -5.08)
+          (xy 1.905 -5.715)
+          (xy 1.905 -4.445)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 -1.905)
+          (xy 1.27 -2.54)
+          (xy 1.905 -3.175)
+          (xy 1.905 -1.905)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (polyline
+        (pts
+          (xy 1.905 0.635)
+          (xy 1.27 0)
+          (xy 1.905 -0.635)
+          (xy 1.905 0.635)
+        )
+        (stroke (width 0) (type default) (color 0 0 0 0))
+        (fill (type none))
+      )
+      (pin power_in line (at -5.08 17.78 270) (length 2.54)
+        (name "Vcc1" (effects (font (size 1.27 1.27))))
+        (number "1" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at 10.16 -5.08 180) (length 2.54)
+        (name "INF" (effects (font (size 1.27 1.27))))
+        (number "10" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at 10.16 -2.54 180) (length 2.54)
+        (name "INE" (effects (font (size 1.27 1.27))))
+        (number "11" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at 10.16 0 180) (length 2.54)
+        (name "IND" (effects (font (size 1.27 1.27))))
+        (number "12" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at 10.16 2.54 180) (length 2.54)
+        (name "OUTC" (effects (font (size 1.27 1.27))))
+        (number "13" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at 10.16 5.08 180) (length 2.54)
+        (name "OUTB" (effects (font (size 1.27 1.27))))
+        (number "14" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at 10.16 7.62 180) (length 2.54)
+        (name "OUTA" (effects (font (size 1.27 1.27))))
+        (number "15" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 5.08 17.78 270) (length 2.54)
+        (name "Vcc2" (effects (font (size 1.27 1.27))))
+        (number "16" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 7.62 0) (length 2.54)
+        (name "INA" (effects (font (size 1.27 1.27))))
+        (number "2" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 5.08 0) (length 2.54)
+        (name "INB" (effects (font (size 1.27 1.27))))
+        (number "3" (effects (font (size 1.27 1.27))))
+      )
+      (pin input line (at -10.16 2.54 0) (length 2.54)
+        (name "INC" (effects (font (size 1.27 1.27))))
+        (number "4" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at -10.16 0 0) (length 2.54)
+        (name "OUTD" (effects (font (size 1.27 1.27))))
+        (number "5" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at -10.16 -2.54 0) (length 2.54)
+        (name "OUTE" (effects (font (size 1.27 1.27))))
+        (number "6" (effects (font (size 1.27 1.27))))
+      )
+      (pin output line (at -10.16 -5.08 0) (length 2.54)
+        (name "OUTF" (effects (font (size 1.27 1.27))))
+        (number "7" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at -5.08 -15.24 90) (length 2.54)
+        (name "GND1" (effects (font (size 1.27 1.27))))
+        (number "8" (effects (font (size 1.27 1.27))))
+      )
+      (pin power_in line (at 5.08 -15.24 90) (length 2.54)
+        (name "GND2" (effects (font (size 1.27 1.27))))
+        (number "9" (effects (font (size 1.27 1.27))))
+      )
+    )
+  )
+)
diff --git a/hardware/icE1usb-rs422/r0.1/iceE1usb-rs422-sch.pdf b/hardware/icE1usb-rs422/r0.1/iceE1usb-rs422-sch.pdf
new file mode 100644
index 0000000..ad6ef89
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/iceE1usb-rs422-sch.pdf
Binary files differ
diff --git a/hardware/icE1usb-rs422/r0.1/sym-lib-table b/hardware/icE1usb-rs422/r0.1/sym-lib-table
new file mode 100644
index 0000000..2e7e8e7
--- /dev/null
+++ b/hardware/icE1usb-rs422/r0.1/sym-lib-table
@@ -0,0 +1,3 @@
+(sym_lib_table
+  (lib (name "icE1usb-rs422")(type "KiCad")(uri "${KIPRJMOD}/icE1usb-rs422.kicad_sym")(options "")(descr ""))
+)
diff --git a/hardware/icE1usb-rs422/sym-lib-table b/hardware/icE1usb-rs422/sym-lib-table
new file mode 100644
index 0000000..2e7e8e7
--- /dev/null
+++ b/hardware/icE1usb-rs422/sym-lib-table
@@ -0,0 +1,3 @@
+(sym_lib_table
+  (lib (name "icE1usb-rs422")(type "KiCad")(uri "${KIPRJMOD}/icE1usb-rs422.kicad_sym")(options "")(descr ""))
+)