blob: a2843c2f254e3288175e178fabf6e9bcca793a4a [file] [log] [blame]
Lev Walkin4062b012013-10-11 14:29:38 -07001ModuleTestSequenceSimple { iso org(3)
2 dod(6) internet(1) private(4)
3 enterprise(1) spelio(9363)
4 software(1) asn1c(5) test(1)
5 32 }
Lev Walkine718e622004-09-14 02:46:01 +00006DEFINITIONS IMPLICIT TAGS ::=
7BEGIN
8
9Programming ::= SEQUENCE SIZE(1..10) OF [0] IMPLICIT Fault
10
11Fault ::= SET OF Error
12
13Error ::= SEQUENCE {
14 ...
15}
16
17maxSize INTEGER ::= 10
18
Lev Walkin1eded352006-07-13 11:19:01 +000019SeqWithMandatory ::= SEQUENCE {
20 someString UTF8String,
21 seqOfMan [0] EXPLICIT SEQUENCE OF Error
22}
23
24SeqWithOptional ::= SEQUENCE {
25 someString UTF8String,
26 seqOfOpt [0] EXPLICIT SEQUENCE OF Error OPTIONAL
27}
28
Lev Walkine718e622004-09-14 02:46:01 +000029END