1. 850b72a shell: New 'read_records' and 'read_records_decoded' commands by Harald Welte · 3 years, 3 months ago
  2. 0d4e98a pySim-shell: JSONpath support for updating files/records by Harald Welte · 3 years, 3 months ago
  3. 75487ae Use zero padding for EF['ACC'] field by Robert Falkenberg · 3 years, 3 months ago
  4. c98ef8a ts_102_221.py: fix fixup_fcp_proprietary_tlv_map() by Philipp Maier · 3 years, 3 months ago
  5. 5459536 SysmoISIM-SJA2: Add option to set Service Provider Name (SPN) by Robert Falkenberg · 3 years, 3 months ago
  6. d7a7e17 utils.py: Add missing dec_plmn function. by Harald Welte · 3 years, 3 months ago
  7. f431189 pySim/filesystem: Remove left-over debug print statements by Harald Welte · 3 years, 3 months ago
  8. 1748b93 pySim-shell: Add settable parameter on JSON pretty-printing by Harald Welte · 3 years, 3 months ago
  9. c9baa4d ts_51_011: Full encoder/decoder for EF.AD by Harald Welte · 3 years, 3 months ago
  10. bcad86c pySim-shell: Add '--oneline' to read_{binary,record}_decoded by Harald Welte · 3 years, 3 months ago
  11. 4f2c546 transport: Pass status word interpreter to exception handler by Harald Welte · 3 years, 3 months ago
  12. 5225557 utils.py: Add more type annotations by Harald Welte · 3 years, 3 months ago
  13. 6e0458d Move init_reader() from utils.py to transport/__init__.py by Harald Welte · 3 years, 3 months ago
  14. 9d0f1f0 card_key_provider: Documentation with sphinx / autodoc by Harald Welte · 3 years, 3 months ago
  15. 4442b3d rename card_data to card_key_provider. by Harald Welte · 3 years, 3 months ago
  16. 90d3b97 Add type annotations and more documentation to card_data.py by Harald Welte · 3 years, 3 months ago
  17. 2d4a64b filesystem.py: Fix type annotation of read_binary_dec() by Harald Welte · 3 years, 3 months ago
  18. 236a65f cosmetic: fix typo in comment by Harald Welte · 3 years, 3 months ago
  19. 86fbd39 filesystem: Fix interpret_sw() fall-through by Harald Welte · 3 years, 3 months ago
  20. ec7d0da 51.011: Define some more files within DF_TELECOM by Harald Welte · 3 years, 3 months ago
  21. 89e5954 fix various file definitions by Harald Welte · 3 years, 3 months ago
  22. 5ce3524 Fix various mistakes around the CardADF <-> CardApplication dualism by Harald Welte · 3 years, 3 months ago
  23. 1e45657 filesystem: fix various issues found by mypy by Harald Welte · 3 years, 3 months ago
  24. 5a4fd52 filesystem: Avoid GPL header showing up in sphinx autodoc by Harald Welte · 3 years, 3 months ago
  25. ee3501f Add more documentation to the classes/methods by Harald Welte · 3 years, 3 months ago
  26. 082d4e0 ts_31_102: Fix decode_select_response() for DF.5GS by Harald Welte · 3 years, 3 months ago
  27. 46f09af pySim-shell: complete CHV/PIN management tools by Philipp Maier · 3 years, 3 months ago
  28. 38c74f6 commands: conserve write cycles by Philipp Maier · 3 years, 4 months ago
  29. 2b11c32 pySim-shell: automatic ADM pin from CSV-File by Philipp Maier · 3 years, 4 months ago
  30. cba6dbc fileystem: fix ADF selection by Philipp Maier · 3 years, 4 months ago
  31. ad073e8 ts_31_102: do not add empty ShellCommands class. by Philipp Maier · 3 years, 4 months ago
  32. 63f572d filesystem: allow selection of arbitrary files by Philipp Maier · 3 years, 4 months ago
  33. 05ca36b Add decoder/encoder for EF.SUCI_Calc_Info by Merlin Chlosta · 3 years, 3 months ago
  34. dd2091a ts_102_221: use keywords to avoid conflicts with positional args by Philipp Maier · 3 years, 3 months ago
  35. e6bc4f9 filesystem: avoid outputting empty lines when there is no data by Philipp Maier · 3 years, 4 months ago
  36. 98f872b pySim/filesystem: fix mutable default list/dict arguments by Vadim Yanitskiy · 3 years, 3 months ago
  37. 1e896f3 pySim-shell: add ADF.ISIM / ADF.USIM dynamically by Philipp Maier · 3 years, 4 months ago
  38. eb72fa4 filesystem: fix typo in method call app()->append() by Philipp Maier · 3 years, 3 months ago
  39. 78e32f2 utils: fix sw_match() by Philipp Maier · 3 years, 3 months ago
  40. 05f42ee cards: remove unnecessary execptions. by Philipp Maier · 3 years, 4 months ago
  41. a31e9a9 commands: better exception string for authentication failures by Philipp Maier · 3 years, 4 months ago
  42. 3aec871 filesystem: be more strict in method add_file() by Philipp Maier · 3 years, 4 months ago
  43. d51d8b5 filesystem: drop __main__ from filesystem.py by Philipp Maier · 3 years, 4 months ago
  44. 6606158 filesystem: add comment to inform about checks in add_file() by Philipp Maier · 3 years, 4 months ago
  45. e8bc1b4 filesystem: fix exception string (fid != name) by Philipp Maier · 3 years, 4 months ago
  46. 5d3e259 pySim-shell: add "dir" command. by Philipp Maier · 3 years, 4 months ago
  47. bd8ed2c filesystem: fix flag model used with get_selectable_names() by Philipp Maier · 3 years, 4 months ago
  48. 4155573 filesystem: allow dumping multiple records of a file by Philipp Maier · 3 years, 4 months ago
  49. 7744b6e filesystem: be case insensitive when selecting files by fid (HEX) by Philipp Maier · 3 years, 4 months ago
  50. 4723650 utils: add is_hex function to check hex strings by Philipp Maier · 3 years, 4 months ago
  51. 786f781 filesystem: add flags to filter selectables by Philipp Maier · 3 years, 4 months ago
  52. 3b51f43 pySim/exceptions.py: fix referencing an instance member by Vadim Yanitskiy · 3 years, 4 months ago
  53. c8458e2 pySim/utils.py: fix 3-digit MNC encoding in enc_plmn() by Vadim Yanitskiy · 3 years, 4 months ago
  54. b271be3 Revert "utils.py: Fix for parsing MNC" by Vadim Yanitskiy · 3 years, 4 months ago
  55. 4ae7c49 pySim/utils_test.py: prepare this to be executed on Jenkins by Vadim Yanitskiy · 3 years, 4 months ago
  56. 7d57edf pySim/utils_test.py: use proper shebang for this executable by Vadim Yanitskiy · 3 years, 4 months ago
  57. 3e58d38 Get rid of Python 2 specific compatibility leftovers by Vadim Yanitskiy · 3 years, 4 months ago
  58. 5452d64 ts_51_011: fix bitmask compositing in EF_xPLMNwAcT.enc_act() by Vadim Yanitskiy · 3 years, 4 months ago
  59. 79f5b60 Python 2 is deprecated, remove backwards compatibility chunks by Denis 'GNUtoo' Carikli · 4 years, 5 months ago
  60. 1f8acd9 transport/pcsc: work around Python 3.5 bug: guard disconnect() by Vadim Yanitskiy · 4 years, 4 months ago
  61. ab34fa8 pySim/utils.py: Attempt to support pycryptodpme by Harald Welte · 3 years, 4 months ago
  62. eab8d2a fix TypeError in derive_milenage_opc() by Harald Welte · 3 years, 4 months ago
  63. b2edd14 Add a new pySim-shell program by Harald Welte · 3 years, 6 months ago
  64. 4f6ca43 start using python3 bytearray for our b2h/h2b types by Harald Welte · 3 years, 5 months ago
  65. 85484a9 commands.py: Introduce a real select_file() method by Harald Welte · 3 years, 5 months ago
  66. c0499c8 commands.py: rename select_file() to select_path() by Harald Welte · 3 years, 5 months ago
  67. 67d551a move SW matching to a generic utility function by Harald Welte · 3 years, 5 months ago
  68. e79cc80 introduce SwMatchError exception by Harald Welte · 3 years, 5 months ago
  69. 79b5ba4 utils.py: de-couple sanitize_pin_adm from argparse 'opts' by Harald Welte · 3 years, 6 months ago
  70. a670425 cards.py: SJS1 + SJA2: Implement + Expose verify_adm() method by Harald Welte · 3 years, 6 months ago
  71. 6d5e0c9 Remove Python shebang from files where it's not needed by Vadim Yanitskiy · 3 years, 4 months ago
  72. 289fd28 serial: do not try to close non existing serial connection by Philipp Maier · 3 years, 4 months ago 1.0
  73. 92bdd5e serial: don't crash if the device does not exist by Philipp Maier · 3 years, 4 months ago
  74. c8caec2 utils: catch exceptions during reader initalitation by Philipp Maier · 3 years, 4 months ago
  75. bdf3d35 utils.py: Fix for parsing MNC by herlesupreeth · 3 years, 5 months ago
  76. be3b641 pySim-read.py: Enable reading of UICC IARI from ISIM by Supreeth Herle · 4 years, 1 month ago
  77. a97944b sysmoISIM-SJA2: Enable programming of EF.ACC by Supreeth Herle · 4 years, 3 months ago
  78. c601923 sysmoISIM-SJA2: Enable programming of EF.MSISDN by Supreeth Herle · 4 years, 3 months ago
  79. be7007e sysmoISIM-SJA2: Add support for programming IMS public user identity by Supreeth Herle · 4 years, 3 months ago
  80. a5bd968 sysmoISIM-SJA2: Add support for programming IMS private user identity by Supreeth Herle · 4 years, 3 months ago
  81. 0c02d8a pySim-read.py: Enable reading of EF.IMPU from ISIM by Supreeth Herle · 4 years, 3 months ago
  82. 3f67f9c pySim-read.py: Enable reading of EF.IMPI from ISIM by Supreeth Herle · 4 years, 3 months ago
  83. 28484d0 Update ISIM IST table as per the 3GPP TS 31.103 specification V15.6.0 by Supreeth Herle · 4 years, 3 months ago
  84. 1279085 sysmoISIM-SJA2: Add support for programming IPv4 address for PCSCF by herlesupreeth · 3 years, 6 months ago
  85. c491dc0 sysmoISIM-SJA2: Add support for programming IPv4 address for Home ePDG Identifier by Supreeth Herle · 4 years, 3 months ago
  86. 43fd03b utils.py: Support IPv4 decoding for Address TLV object present in EF.ePDGId and EF.ePDGIdEm by Supreeth Herle · 4 years, 3 months ago
  87. 654eca7 utils.py: Support IPv4 encoding for Address TLV object present in EF.ePDGId and EF.ePDGIdEm by Supreeth Herle · 4 years, 3 months ago
  88. 4779034 sysmoISIM-SJA2: Fill unused bytes of Home ePDGId with 'f' by Supreeth Herle · 4 years, 3 months ago
  89. 79f43dd sysmoISIM-SJA2: Add support for programming IMS Home Network Domain Name by Supreeth Herle · 4 years, 3 months ago
  90. 556b0fe utils.py: Add helper method to get type of address (FQDN, IPv4, IPv6) by Supreeth Herle · 4 years, 3 months ago
  91. 44e0462 sysmoISIM-SJA2: Disable Service 95, 99, 115 in EF.UST in case ISIM is present by Supreeth Herle · 4 years, 3 months ago
  92. 05b2807 pySim-read.py: Enable reading of Home Network Domain Name from ISIM by Supreeth Herle · 4 years, 3 months ago
  93. cf727f2 sysmoISIM-SJA2: Add support for programming Proxy Call Session Control Function address by Supreeth Herle · 4 years, 3 months ago
  94. 5ad9aec pySim-read.py: Enabled reading P-CSCF address from ISIM by Supreeth Herle · 4 years, 3 months ago
  95. 75c14c0 ts_31_103.py: Add ADF map for files at ISIM ADF by herlesupreeth · 3 years, 6 months ago
  96. b0c7d12 sysmoISIM-SJA2: Inherit ISIM Card class as SysmoISIMSJA2 UICC contains an ISIM by herlesupreeth · 3 years, 6 months ago
  97. ecbada9 cards: Define ISIM Card class by herlesupreeth · 3 years, 6 months ago
  98. 3b342c2 Re-purpose helper method to be used for parsing Address TLV Object in general by Supreeth Herle · 4 years, 3 months ago
  99. acc222f sysmoISIM-SJA2: Enable Service 106 and 107 after successfully programming EF.ePDGId and EF.ePDGSelection by Supreeth Herle · 4 years, 3 months ago
  100. f964df4 sysmoISIM-SJA2: Add support for programming EF.ePDGSelection (ePDG Selection Information) by Supreeth Herle · 4 years, 3 months ago