gateware/common: Add iCE40 optimized register file for picorv32

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
diff --git a/gateware/icE1usb/Makefile b/gateware/icE1usb/Makefile
index 96a5c47..ca1698a 100644
--- a/gateware/icE1usb/Makefile
+++ b/gateware/icE1usb/Makefile
@@ -13,6 +13,7 @@
 PROJ_RTL_SRCS += $(addprefix ../common/rtl/, \
 	dfu_helper.v \
 	picorv32.v \
+	picorv32_ice40_regs.v \
 	soc_base.v \
 	soc_bram.v \
 	soc_iobuf.v \